zynq的PL向PS提供时钟和复位

1、前言

最近买了一块矿卡蚂蚁T9+,它的资源比EBAZ4205丰富。
需要矿卡资料包的朋友可以从这下载。里面包含蚂蚁T9+和EBAZ4205原理图和几个EBAZ4205例程,还有一些相关的pdf文档。
link

首先从fpga学起,可惜PL没有焊晶振,只好从PS端引,下面以点灯为例。

2、创建工程

打开vivado,工具栏file --> project --> new 然后一路next,器件选择xc7z010clg400-1,在最左侧点击create block designer,然后点击右侧+,添加zynq system process IP核,双击IP核,进入配置界面,可以熟悉了解各种配置,直接默认,回到IP核界面,一定要选种IP核的FCLK_CLK0和FCLK_RESET0_N ,右键选择make connection.然后在sources中选择IP核,右键选择create HDL Wrapper。
在工程上右键添加资源文件,添加verilog文件,加入点灯程序

module led_shark(   
    output      led
    );

wire sys_clk;
wire sys_rst_n;

sys_core led_use
(
    .FCLK_CLK0_0(sys_clk),
    .FCLK_RESET0_N_0(sys_rst_n)
 );

reg  [25:0] cnt;

assign led = (cnt < 26'd25_000_000)? 1'b0 : 1'b1;   

always@ (posedge sys_clk or negedge sys_rst_n)
begin 
    if(!sys_rst_n)
     begin
        cnt <= 26'd0;
     end
    else if(cnt < 26'd50_000_000)
     begin
        cnt <= cnt + 1'b1;
     end
    else
     begin
        cnt <= 26'd0;
     end
end

endmodule

再创建XDC引脚约束文件,保存,编译,生成bitstream。FPGA部分完成。菜单栏file --> export hardware.然后launch sdk,一定要选择include bitstream.进入SDK(ecplise)后,菜单栏file --> new --> application project,创建一个空工程,在自己工程src文件夹中新建main.c,然后输入

#include "xparameters.h"
#include "xplatform_info.h"

int main(void){
  while(1){
  }
}

至此所有工程创建完成。

3、运行

将板子上电,接上仿真器,在SDK中,点击菜单栏xinlinx --> programe fpga后,再依次点击工具栏的build 和 run,然后可以看见板子上的灯闪烁。
工程源码:link

  • 4
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 4
    评论
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

fanxinliuchen

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值