xilinx FPGA约束文件

**约束文件实际上就是将你的verilog中定义的端口号与FPGA板子上的IO口建立起联系,也同样是告诉软件该如何分
配你所定义的端口号以生成对应的bit文件。**
下面是关于约束文件的详细内容
1、约束文件的后缀名为.xdc;
2、约束文件中的内容大体分为两类:
    1:IO口与端口的链接;
    2:该IO口的最高电平定义;
    ![这是一个约束文件中的一部分,可以看出由两部分组成](https://img-blog.csdn.net
/20170917171516917?watermark/2/text/aHR0cDovL2Jsb2cuY3Nkbi5uZXQvZmVpbGlhbnRvbmc=/
font/5a6L5L2T/fontsize/400/fill/I0JBQkFCMA==/dissolve/70/gravity/SouthEast)
3、需要注意的是,约束文件中如果对应的端口号是寄存器或数组类型的,应该在写约束文件的时候加上花括号。如下
    `set_property PACKAGE_PIN V4 [get_ports {display_out[9]}]
    set_property IOSTANDARD LVCMOS33 [get_ports {display_out[9]}]`
    其中display_out是一个寄存器,所以在定义每一个端口所对应IO时应该用{}括起来
4、set_property PACKAGE_PIN V4 [get_ports {display_out[9]}]
    设置特性      FPGA上的管脚 管脚号  得到端口    端口号
  set_property IOSTANDARD LVCMOS33 [get_ports {display_out[9]}]
    设置特性      IO电平标准    3.3V      得到端口     端口号
  其中电平标准有3.3v, 2.4v, 1.2v

  • 10
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Xilinx FPGA约束文件的IOSTANDARD类型是用于设置IO电平标准的。可以在约束文件使用set_property命令来指定每个端口的IOSTANDARD类型。常见的IOSTANDARD类型包括LVCMOS33、LVCMOS25、LVCMOS18等。其,LVCMOS33表示IO电平标准为3.3V,LVCMOS25表示IO电平标准为2.5V,LVCMOS18表示IO电平标准为1.8V。根据实际应用需求,可以选择适当的IO电平标准来设置约束文件的IOSTANDARD类型。<span class="em">1</span><span class="em">2</span><span class="em">3</span><span class="em">4</span> #### 引用[.reference_title] - *1* [xilinx FPGA约束文件](https://blog.csdn.net/feifansong/article/details/80074440)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] - *2* [Spartan 6 FPGA 设计HC-SR04超声波测距实验VERILOG源码 Xilinx ISE14.6 工程文件.zip](https://download.csdn.net/download/SKCQTGZX/59330358)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] - *3* *4* [十一、Xilinx FPGA相关约束,原语,函数使用及问题记录](https://blog.csdn.net/weixin_41838250/article/details/115491737)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值