Verilog实现基本的图像滤波处理仿真

1,用matlab代码,准备好把图片转化成Vivado Simulator识别的格式,即每行一个数据:

img = imread('E:\matlab\Images\2016-09-05-211710.jpg');
if size(img,3)==3
    img = rgb2gray(img);
end
height = size(img, 1);
width = size(img, 2);
s = fopen('image2mem.txt','wb'); %opens the output file
cnt = 0;
for r=1:height
    for c=1:width
        cnt = cnt + 1;
        grey=img(r,c);
        greyb = dec2bin(grey,8);
        Outbyte =greyb(1:8);

        if (Outbyte(1:4) == '0000')fprintf(s,'0%X',bin2dec(Outbyte));
        else fprintf(s,'%X',bin2dec(Outbyte));   end
        if (mod(cnt,1) == 0)fprintf(s,'\r\n'</
评论 9
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值