时序例外_Timing Exceptions_ 综述

1.什么是时序例外

默认设置情况下,逻辑功能的时序不正确。

2.如何解决时序例外

使用时序例外命令去解决时序例外,时序例外命令如下:

set_multicycle_path:表明数据form the start of the path to the end of the path传播需要多少个时钟周期。

set_false_path:表明该路径不需要时序分析。

set_max_delay/set_min_delay:设置最大最小路径延时值,覆盖默认的保持建立时间。

3.如何查看时序例外

处于节约运行时间的考虑,Vivado不提供实时的时序例外冲突的分析。可以使用report_exceptions命令查看时序例外报告。

For more information, refer to Vivado Design Suite User Guide: Design Analysis and Closure Techniques (UG906)。

 

 

 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值