FPGA系列7——Xilinx复数乘法器(Complex Multiplier v6.0)使用小结

 

  • 10
    点赞
  • 82
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
vivado 复数乘法器是一种在数字信号处理中常用的工具,特别是在通信、图像处理以及数学建模等领域中。在FPGA中实现复数乘法需要使用乘法器和加法器。复数乘法的实现涉及对实部和虚部分别进行乘法运算,并最终求和。然而,在FPGA中实现复数乘法并不是一项容易完成的任务。 为了实现复数乘法,可以使用vivado自带的math functions的ip核。该ip核支持以补码形式输入负数,并且输入输出的实部和虚部位宽可以根据需求进行设置。顶层模块代码中的complex_multiplier1就是调用了这个ip核进行复数乘法计算。 在顶层模块中,输入的实部和虚部分别是16位位宽,输出的实部和虚部是33位位宽。复数乘法的结果输出为一个80位位宽的信号,其中第34位到40位和74到80位是不使用的。通过对输出信号进行截取,可以得到实部和虚部的结果[3]。 总结来说,vivado 复数乘法器是一种用于在FPGA中实现复数乘法的工具。可以使用vivado自带的math functions的ip核来进行复数乘法计算。该ip核支持不同位宽的输入和输出,并且可以处理以补码形式输入的负数[3]。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [基于Vivado核的复数乘法器的设计与实现](https://blog.csdn.net/Jack_user/article/details/131820733)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] - *2* *3* [vivado中复数乘法器的ip核调用及其仿真的详细介绍](https://blog.csdn.net/weixin_45159528/article/details/122797490)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值