111,Verilog-2005标准篇:概率分布函数(Probabilistic distribution functions)

Verilog标准包含多个不同的概率分布函数,可返回按照标准概率函数分布的整数值。其中最常见的就是最简单的$random函数,其语法如下表所示:

系统函数 $random 提供了一种生成随机数的机制,每次调用该函数都会返回一个新的 32 位随机数。随机数是一个带符号的整数,可以是正数,也可以是负数。

种子seed参数控制着 $random 返回值,因此不同的种子会产生不同的随机流。种子参数可以是一个reg、一个integer或一个time变量。在调用 $random 之前,应将种子值分配给该变量。

例 1-当 b 大于 0 时,表达式 ( $random % b) 得到的数字范围如下: [ (-b+1): (b-1) ].下面的代码片段显示了一个在 -59 和 59 之间生成随机数的示例:

reg  [23:0] rand;

rand =  $random % 60;

例 2-下面的示例展示了如何在上一示例中添加连接运算符,使 rand 得到 0 到 59 之间的正值:

reg  [23:0] rand;

rand = { $random } % 60;

概率分布函数的语法如下表所示:

上述的系统函数所有参数都是整数值。对于exponential函数、poisson函数、chi-square函数、t函数和 erlang 函数,参数mean、degree_of_freedom 和 k_stage 应大于0。

每个函数都返回一个伪随机数,其特征由函数名描述。例如,,$dist_uniform 返回在其参数指定的区间内均匀分布的随机数。

对于每个系统函数,种子seed参数都是一个inout参数;也就是说,一个值被传递给函数,然后返回一个不同的值。对于相同的种子参数,系统函数应始终返回相同的值。这样可以使系统操作具有可重复性,从而方便调试。种子参数应是一个整数变量,由用户初始化,仅由系统函数更新,这将确保实现所需的概率分布。

$dist_uniform 函数中,start和end参数是整数输入,用于约束返回值。start值应小于end值。

mean(平均值)参数用于 $dist_normal、$dist_exponential$dist_poisson$dist_erlang,是一个整数输入,用于使函数返回的平均值接近指定值。

$dist_normal函数一起使用的标准差(standard_deviation)参数是一个整数输入,有助于确定密度函数的形状。标准差越大,返回值的范围越广。

$dist_chi_square$dist_t函数一起使用的自由度(degree_of_freedom)参数是一个整数输入,有助于确定密度函数的形状。数值越大,返回值的范围越广。

点赞加关注博主(ID:FPGA小飞)的博文,咱们一起系统学习verilog最终标准IEEE Std 1364-2005吧!

  • 5
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值