北京革新创展科技有限公司-BICE-EDA数字逻辑电路设计实验(实验1.7 8-3编码器)

实验1.7 8-3编码器
一、实验准备

该实验需要用到北京革新创展科技有限公司B-ICE-EDA/SOPC实验箱主板上的LED指示灯, SW9-SW16组开关。请把控制拨码开关 LCD_ALONE_CTRL_SW 中开关VLPO拨置于下为低电平,可以使用LED1~LED8;请把控制拨码开关CTRL_SW中开关SEL1, SEL2拨置于下逻辑电平为00,使DP9数码管显示1,可以使用SW9-SW16组开关。

二、实验目的
1、了解普通编码器的原理;
2、熟悉QuartusII软件的相关操作,掌握数字电路设计的基本流程;
3、介绍QuartusII软件,掌握基本的设计思想,软件环境的参数配置,仿真,管脚分配,下载等基本操作。
4、熟悉北京革新创展科技有限公司B-ICE-EDA/SOPC实验箱及其核心板硬件环境。

三、实验原理
普通8_3编码器真值表:其中输入8个互斥的信号,输出3位二进制代码,如下所示:
普通8_3编码器真值表
输入 输出(Y2 Y1 Y0)
I0 0 0 0
I1 0 0 1
I2 0 1 0
I3 0 1 1
I4 1 0 0
I5 1 0 1
I6 1 1 0
I7 1 1 1

四、实验内容
1、用Verulog语言设计一个普通8-3编码器程序,仿真并分析;
2、用QuartusII软件进行编译、下载到北京革新创展科技有限公司B-ICE-EDA/SOPC实验平台上进行验证, 用LED实时显示输出编码。

五、设计原理框图
详情参考北京革新创展科技有限公司B-ICE-EDA/SOPC实验箱实验指导书及demo资源。

六、引脚分配情况
下表为北京革新创展科技有限公司B-ICE-EDA/SOPC-IEELS Platform开发实验平台引脚分配表:
设计端口 芯片引脚 开发平台模块
a Y16 SW9
b Y14 SW10
c E7 SW11
d D7 SW12
e C7 SW13
f D8 SW14
g E9 SW15
h A3 SW16
outcode[2] AA12 LED1
outcode[1] AB13 LED2
outcode[0] AA14 LED3
none_on U14 LED8
实验步骤:
1、按照实验准备将相应的跳线连接好,调节拨码开关选择对应的模块;
2、找到本次试验的源程序,打开工程,将程序下载到实验平台上;
3、拨动SW9-SW16输入八位数据,观察LED1-LED3的显示结果,看是否实现了8-3优先编码器的功能。

七、结果分析
在Modelsim下得到的编码器仿真波形图
通过上图可以看到,当{a,b,c,d,e,f,g,h}=8’b1000_0000时,outcode=3’b000,none_on=0;
当{a,b,c,d,e,f,g,h}=8’b0100_0000时,outcode=3’b001,none_on=0;
当{a,b,c,d,e,f,g,h}=8’b0010_0000时,outcode=3’b010,none_on=0;
当{a,b,c,d,e,f,g,h}=8’b0001_0000时,outcode=3’b011,none_on=0;
当{a,b,c,d,e,f,g,h}=8’b0000_1000时,outcode=3’b100,none_on=0;
当{a,b,c,d,e,f,g,h}=8’b0000_0100时,outcode=3’b101,none_on=0;
当{a,b,c,d,e,f,g,h}=8’b0000_0010时,outcode=3’b110,none_on=0;
当{a,b,c,d,e,f,g,h}=8’b0000_0001时,outcode=3’b111,none_on=0;
均实现了正确的编码逻辑。
当{a,b,c,d,e,f,g,h}=8’b0000_0011时,outcode=3’b000,none_on=1,
此时表示编码逻辑出错。

八、Verilog源程序代码
详情参考北京革新创展科技有限公司B-ICE-EDA/SOPC实验箱实验指导书及demo资源。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值