阀板程序(1)

主控

主控基于是FPGA或CPLD,CPLD价格更低
主要功能:
(1)程序支持64阀
(2)串口按照协议接收数据,并驱动阀,
(3)驱动指示灯

引脚配置如下:
set_property DRIVE 12 [get_ports {lvds_t[1]}]
set_property DRIVE 12 [get_ports {lvds_t[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports SW3]
set_property IOSTANDARD LVCMOS33 [get_ports SW2]
set_property IOSTANDARD LVCMOS33 [get_ports SW1]
set_property IOSTANDARD LVCMOS33 [get_ports SW0]
set_property PULLDOWN true [get_ports SW0]
set_property PULLDOWN true [get_ports SW1]
set_property PULLDOWN true [get_ports SW2]
set_property PULLDOWN true [get_ports SW3]

set_property IOSTANDARD LVCMOS33 [get_ports {lvds_r[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {lvds_r[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {lvds_r[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {lvds_r[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {lvds_t[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {lvds_t[0]}]

set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[79]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[78]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[77]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[76]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[75]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[74]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[73]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[72]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[71]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[70]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[69]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[68]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[67]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[66]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[65]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[64]}]

set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[63]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[62]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[61]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[60]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[59]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[58]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[57]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[56]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[55]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[54]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[53]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[52]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[51]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[50]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[49]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[48]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[47]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[46]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[45]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[44]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[43]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[42]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[41]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[40]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[39]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[38]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[37]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[36]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[35]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[34]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[33]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[32]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[31]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[30]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[29]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[28]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[27]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[26]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[25]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[24]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[23]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[22]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[21]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[20]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[19]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[18]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[17]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[16]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[15]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[14]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[13]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[12]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[11]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[10]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[9]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[8]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {valve_pin[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports clk_50m_i]
set_property IOSTANDARD LVCMOS33 [get_ports ds]
set_property IOSTANDARD LVCMOS33 [get_ports led]
set_property IOSTANDARD LVCMOS33 [get_ports oe]
#set_property IOSTANDARD LVCMOS33 [get_ports qspi_clk]
#set_property IOSTANDARD LVCMOS33 [get_ports qspi_cs]
#set_property IOSTANDARD LVCMOS33 [get_ports qspi_d0]
#set_property IOSTANDARD LVCMOS33 [get_ports qspi_d1]
#set_property IOSTANDARD LVCMOS33 [get_ports qspi_d2]
#set_property IOSTANDARD LVCMOS33 [get_ports qspi_d3]
set_property IOSTANDARD LVCMOS33 [get_ports reset_key]
set_property IOSTANDARD LVCMOS33 [get_ports rs422_rx1]
set_property IOSTANDARD LVCMOS33 [get_ports rs422_rx2]
set_property IOSTANDARD LVCMOS33 [get_ports rs422_tx1]
set_property IOSTANDARD LVCMOS33 [get_ports rs422_tx2]
set_property IOSTANDARD LVCMOS33 [get_ports shcp]
set_property IOSTANDARD LVCMOS33 [get_ports stcp]
set_property PACKAGE_PIN A17 [get_ports SW0]
set_property PACKAGE_PIN B17 [get_ports SW1]
set_property PACKAGE_PIN E16 [get_ports SW2]
set_property PACKAGE_PIN C17 [get_ports SW3]
set_property PACKAGE_PIN N17 [get_ports {lvds_r[0]}]
set_property PACKAGE_PIN P18 [get_ports {lvds_r[1]}]
set_property PACKAGE_PIN R17 [get_ports {lvds_r[2]}]
set_property PACKAGE_PIN R18 [get_ports {lvds_r[3]}]
set_property PACKAGE_PIN U17 [get_ports {lvds_t[0]}]
set_property PACKAGE_PIN T18 [get_ports {lvds_t[1]}]
set_property PACKAGE_PIN T14 [get_ports clk_50m_i]
set_property PACKAGE_PIN K18 [get_ports led]
set_property PACKAGE_PIN N18 [get_ports reset_key]
set_property PACKAGE_PIN V17 [get_ports rs422_rx1]
set_property PACKAGE_PIN V16 [get_ports rs422_rx2]
set_property PACKAGE_PIN U16 [get_ports rs422_tx1]
set_property PACKAGE_PIN U15 [get_ports rs422_tx2]
#set_property PACKAGE_PIN K16 [get_ports qspi_d0]
#set_property PACKAGE_PIN L17 [get_ports qspi_d1]
#set_property PACKAGE_PIN J15 [get_ports qspi_d2]
#set_property PACKAGE_PIN J16 [get_ports qspi_d3]
#set_property PACKAGE_PIN L15 [get_ports qspi_cs]
set_property PACKAGE_PIN F18 [get_ports oe]
set_property PACKAGE_PIN E18 [get_ports ds]
set_property PACKAGE_PIN D18 [get_ports shcp]
set_property PACKAGE_PIN C18 [get_ports stcp]
set_property PACKAGE_PIN C9 [get_ports {valve_pin[0]}]
set_property PACKAGE_PIN A9 [get_ports {valve_pin[1]}]
set_property PACKAGE_PIN B9 [get_ports {valve_pin[2]}]
set_property PACKAGE_PIN A10 [get_ports {valve_pin[3]}]
set_property PACKAGE_PIN B10 [get_ports {valve_pin[4]}]
set_property PACKAGE_PIN B11 [get_ports {valve_pin[5]}]
set_property PACKAGE_PIN C12 [get_ports {valve_pin[6]}]
set_property PACKAGE_PIN C13 [get_ports {valve_pin[7]}]
set_property PACKAGE_PIN L4 [get_ports {valve_pin[8]}]
set_property PACKAGE_PIN J4 [get_ports {valve_pin[9]}]
set_property PACKAGE_PIN K5 [get_ports {valve_pin[10]}]
set_property PACKAGE_PIN J5 [get_ports {valve_pin[11]}]
set_property PACKAGE_PIN K6 [get_ports {valve_pin[12]}]
set_property PACKAGE_PIN J6 [get_ports {valve_pin[13]}]
set_property PACKAGE_PIN L5 [get_ports {valve_pin[14]}]
set_property PACKAGE_PIN D13 [get_ports {valve_pin[15]}]
set_property PACKAGE_PIN P3 [get_ports {valve_pin[16]}]
set_property PACKAGE_PIN P6 [get_ports {valve_pin[17]}]
set_property PACKAGE_PIN N3 [get_ports {valve_pin[18]}]
set_property PACKAGE_PIN P4 [get_ports {valve_pin[19]}]
set_property PACKAGE_PIN N4 [get_ports {valve_pin[20]}]
set_property PACKAGE_PIN M5 [get_ports {valve_pin[21]}]
set_property PACKAGE_PIN M4 [get_ports {valve_pin[22]}]
set_property PACKAGE_PIN L3 [get_ports {valve_pin[23]}]
set_property PACKAGE_PIN U14 [get_ports {valve_pin[24]}]
set_property PACKAGE_PIN T13 [get_ports {valve_pin[25]}]
set_property PACKAGE_PIN T12 [get_ports {valve_pin[26]}]
set_property PACKAGE_PIN T7 [get_ports {valve_pin[27]}]
set_property PACKAGE_PIN R7 [get_ports {valve_pin[28]}]
set_property PACKAGE_PIN R6 [get_ports {valve_pin[29]}]
set_property PACKAGE_PIN T5 [get_ports {valve_pin[30]}]
set_property PACKAGE_PIN R5 [get_ports {valve_pin[31]}]
set_property PACKAGE_PIN V14 [get_ports {valve_pin[32]}]
set_property PACKAGE_PIN V13 [get_ports {valve_pin[33]}]
set_property PACKAGE_PIN U12 [get_ports {valve_pin[34]}]
set_property PACKAGE_PIN V12 [get_ports {valve_pin[35]}]
set_property PACKAGE_PIN U11 [get_ports {valve_pin[36]}]
set_property PACKAGE_PIN V11 [get_ports {valve_pin[37]}]
set_property PACKAGE_PIN U10 [get_ports {valve_pin[38]}]
set_property PACKAGE_PIN V9 [get_ports {valve_pin[39]}]
set_property PACKAGE_PIN A12 [get_ports {valve_pin[40]}]
set_property PACKAGE_PIN B12 [get_ports {valve_pin[41]}]
set_property PACKAGE_PIN A13 [get_ports {valve_pin[42]}]
set_property PACKAGE_PIN B14 [get_ports {valve_pin[43]}]
set_property PACKAGE_PIN A14 [get_ports {valve_pin[44]}]
set_property PACKAGE_PIN B15 [get_ports {valve_pin[45]}]
set_property PACKAGE_PIN A15 [get_ports {valve_pin[46]}]
set_property PACKAGE_PIN B16 [get_ports {valve_pin[47]}]
set_property PACKAGE_PIN M1 [get_ports {valve_pin[48]}]
set_property PACKAGE_PIN L2 [get_ports {valve_pin[49]}]
set_property PACKAGE_PIN K2 [get_ports {valve_pin[50]}]
set_property PACKAGE_PIN K1 [get_ports {valve_pin[51]}]
set_property PACKAGE_PIN K3 [get_ports {valve_pin[52]}]
set_property PACKAGE_PIN D8 [get_ports {valve_pin[53]}]
set_property PACKAGE_PIN C8 [get_ports {valve_pin[54]}]
set_property PACKAGE_PIN C11 [get_ports {valve_pin[55]}]
set_property PACKAGE_PIN U1 [get_ports {valve_pin[56]}]
set_property PACKAGE_PIN T2 [get_ports {valve_pin[57]}]
set_property PACKAGE_PIN R2 [get_ports {valve_pin[58]}]
set_property PACKAGE_PIN R1 [get_ports {valve_pin[59]}]
set_property PACKAGE_PIN P1 [get_ports {valve_pin[60]}]
set_property PACKAGE_PIN N2 [get_ports {valve_pin[61]}]
set_property PACKAGE_PIN N1 [get_ports {valve_pin[62]}]
set_property PACKAGE_PIN M2 [get_ports {valve_pin[63]}]

set_property PACKAGE_PIN U4 [get_ports {valve_pin[64]}]
set_property PACKAGE_PIN T4 [get_ports {valve_pin[65]}]
set_property PACKAGE_PIN V3 [get_ports {valve_pin[66]}]
set_property PACKAGE_PIN P5 [get_ports {valve_pin[67]}]
set_property PACKAGE_PIN V2 [get_ports {valve_pin[68]}]
set_property PACKAGE_PIN T3 [get_ports {valve_pin[69]}]
set_property PACKAGE_PIN U2 [get_ports {valve_pin[70]}]
set_property PACKAGE_PIN R3 [get_ports {valve_pin[71]}]
set_property PACKAGE_PIN U9 [get_ports {valve_pin[72]}]
set_property PACKAGE_PIN V8 [get_ports {valve_pin[73]}]
set_property PACKAGE_PIN V7 [get_ports {valve_pin[74]}]
set_property PACKAGE_PIN U7 [get_ports {valve_pin[75]}]
set_property PACKAGE_PIN V6 [get_ports {valve_pin[76]}]
set_property PACKAGE_PIN U6 [get_ports {valve_pin[77]}]
set_property PACKAGE_PIN U5 [get_ports {valve_pin[78]}]
set_property PACKAGE_PIN V4 [get_ports {valve_pin[79]}]

#set_property PACKAGE_PIN H14 [get_ports qspi_clk]

#锟斤拷锟斤拷锟斤拷锟斤拷俣锟�
set_property BITSTREAM.CONFIG.CONFIGRATE 50 [current_design]
set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design]
set_property CONFIG_MODE SPIx4 [current_design]

set_property BITSTREAM.CONFIG.SPI_32BIT_ADDR YES [current_design]

set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值