m基于FPGA的cordic算法实现,输出sin和cos波形

目录

1.算法描述

2.仿真效果预览

3.MATLAB核心程序

4.完整MATLAB


1.算法描述

       CORDIC(Coordinate Rotation Digital Computer)算法即坐标旋转数字计算方法,是J.D.Volder1于1959年首次提出,主要用于三角函数、双曲线、指数、对数的计算。该算法通过基本的加和移位运算代替乘法运算,使得矢量的旋转和定向的计算不再需要三角函数、乘法、开方、反三角、指数等函数。

       Cordic算法可以利用简单的移位和加减来计算复杂的三角函数、双曲函数、对数、指数等。

       Cordic算法核心思想有两点,通过已知的角度来逼近输入的角度(用移位来代替tanθ),已知角度的cosθ经过多次积累相乘趋于常数。

       CORDIC为Coordinate rotation digital computer的缩写,来自于J.E.Volder发表于1959年的论文中,是一种不同于“paper and pencil”思路的一种数字计算方法,当时专为用于实时数字计算如导航方程中的三角关系和高速率三角函数坐标转换而开发。如今看来,CORDIC非但没有局限于以上方面,反而在各个数字计算如信号处理、图像处理、矩阵计算、自动控制和航空航天等各领域获得了广泛的使用并成为了各行业不可替代的基石。所谓万物皆可信号处理,信号处理相关行业的各位与CORDIC自然难舍难分。又所谓“为人不识CORDIC,读尽算法也枉然”,CORDIC算法并不新鲜,今天老生常谈下CORDIC算法,尽量将每一步公式的变换展示清楚,希望对新手有用。

       ROM资源,作为产生离散正弦信号的另一种有效途径,CORDIC(坐标旋转数值计算)算法已越来越受到青睐。其基本思想是通过一系列逐次递减的、与运算基数相关的往复偏摆以逼近最终需要达到的旋转角度。该算法仅利用加法和移位两种运算通过迭代方式进行矢量旋转, CORDIC算法由于只采用加法和移位运算,因此很适合在FPGA中实现,它可以用来实现数字下变频中的NCO、混频器和坐标变换等功能。

       实现NCO的另一种方法是采用基于坐标旋转数字式计算机的算法,即CORDIC算法,基本思想是采用逐次逼近的方法实现三角函数的计算。该算法的突出优点是,仅做加减和移位运算,结合流水线,可以实现每一个时钟周期输出一个经过n次迭代的结果。

 通过迭代的方式,可以用如下的式子可以知道其表达式为:

:

 

 

2.仿真效果预览

本系统进行了两个平台的开发,分别是:

Vivado2019.2

Quartusii18.0+ModelSim-Altera 6.6d  Starter Edition

vivado2019.2仿真如下:

Quartusii18.0+ModelSim-Altera 6.6d  Starter Edition的测试结果如下:

3.verilog核心程序

//?????1?
always @(posedge i_clk or posedge i_reset)
begin
     if(i_reset)
     begin
         x1<=8'b0000_0000;
         y1<=8'b0000_0000;
         z1<=8'b0000_0000;
     end
else begin
          if(z0[7]==1'b0)
          begin
          x1 <= x0 - y0;
          y1 <= y0 + x0;
          z1 <= z0 - 8'h20;  //45deg
          end
     else begin
          x1 <= x0 + y0;
          y1 <= y0 - x0;
          z1 <= z0 + 8'h20;  //45deg
          end
     end
end
 
//?????2?
always @(posedge i_clk or posedge i_reset)
begin
     if(i_reset)
     begin
         x2<=8'b0000_0000;
         y2<=8'b0000_0000;
         z2<=8'b0000_0000;
     end
else begin
          if(z1[7]==1'b0)
          begin
          x2 <= x1 - {y1[7],y1[7:1]};
          y2 <= y1 + {x1[7],x1[7:1]};
          z2 <= z1 - 8'h12;  //26deg
          end
     else begin
          x2 <= x1 + {y1[7],y1[7:1]};
          y2 <= y1 - {x1[7],x1[7:1]};
          z2 <= z1 + 8'h12;  //26deg
          end
     end
end
 
//?????3?
always @(posedge i_clk or posedge i_reset)
begin
     if(i_reset)
     begin
         x3<=8'b0000_0000;
         y3<=8'b0000_0000;
         z3<=8'b0000_0000;
     end
else begin
          if(z2[7]==1'b0)
          begin
          x3 <= x2 - {{2{y2[7]}},y2[7:2]};
          y3 <= y2 + {{2{x2[7]}},x2[7:2]};
          z3 <= z2 - 8'h09;  //14deg
          end
     else begin
          x3 <= x2 + {{2{y2[7]}},y2[7:2]};
          y3 <= y2 - {{2{x2[7]}},x2[7:2]};
          z3 <= z2 + 8'h09;  //14deg
          end
     end            
end
  
//?????4?
always @(posedge i_clk or posedge i_reset)
begin
     if(i_reset)
     begin
     x4<=8'b0000_0000;
     y4<=8'b0000_0000;
     z4<=8'b0000_0000;
     end
else begin
          if(z3[7]==1'b0)
          begin
          x4 <= x3 - {{3{y3[7]}},y3[7:3]};
          y4 <= y3 + {{3{x3[7]}},x3[7:3]};
          z4 <= z3 - 8'h04;  //7deg
          end
     else begin
          x4 <= x3 + {{3{y3[7]}},y3[7:3]};
          y4 <= y3 - {{3{x3[7]}},x3[7:3]};
          z4 <= z3 + 8'h04;  //7deg
          end
     end       
end 
 
//?????5?
always @(posedge i_clk or posedge i_reset)
begin
     if(i_reset)
     begin
         x5<=8'b0000_0000;
         y5<=8'b0000_0000;
         z5<=8'b0000_0000;
     end
else begin
          if(z4[7]==1'b0)
          begin
          x5 <= x4 - {{4{y4[7]}},y4[7:4]};
          y5 <= y4 + {{4{x4[7]}},x4[7:4]};
          z5 <= z4 - 8'h02;  //4deg
          end
     else begin
          x5 <= x4 + {{4{y4[7]}},y4[7:4]};
          y5 <= y4 - {{4{x4[7]}},x4[7:4]};
          z5 <= z4 + 8'h02;  //4deg
          end
     end
end 
01_115m

4.完整verilog

V

  • 1
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

我爱C编程

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值