Design Compiler常用命令之时钟约束

Design Compiler常用命令之时钟约束

  • create_clock:该命令是为你的design产生一个虚拟时钟,作为你设计时序的计算起点。基本上此时你的design中寄存器到寄存器之间的时序约束就有了。
        使用方法:create_clock  -period  10  [get_ports  clk];#在端口上加上一个周期为10ns的虚拟时钟

  • set_clock_uncertainty:该命令是模拟时钟clk的skew和jitter。
        使用方法:set_clock_uncertainty   -setup  0.5        [get_clocks clk]
  • set_clock_transition:该命令是模拟时钟跳变的斜坡的,是指从时钟高电平的10%到达时钟高电平的90%所需要的时间。
        使用方法:set_clock_transition    -max   0.5        [get_clocks clk]
  • set_clock_lat
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值