Design Compiler常用命令之时钟约束

Design Compiler常用命令之时钟约束

  • create_clock:该命令是为你的design产生一个虚拟时钟,作为你设计时序的计算起点。基本上此时你的design中寄存器到寄存器之间的时序约束就有了。
        使用方法:create_clock  -period  10  [get_ports  clk];#在端口上加上一个周期为10ns的虚拟时钟

  • set_clock_uncertainty:该命令是模拟时钟clk的skew和jitter。
        使用方法:set_clock_uncertainty   -setup  0.5        [get_clocks clk]
  • set_clock_transition:该命令是模拟时钟跳变的斜坡的,是指从时钟高电平的10%到达时钟高电平的90%所需要的时间。
        使用方法:set_clock_transition    -max   0.5        [get_clocks clk]
  • set_clock_latency:该命令的作用是设置时钟延时,分为source delay和network delay。
        使用方法:set_clock_latency  -source -max 1 [get_clocks clk];#约束时钟的source delay:指从外部晶振到达           芯片引脚所需要的延时时间;
         set_clock_latency  -max  1  [get_clocks clk] ;#约束时钟的network delay:指从芯片引脚到达触发器的时          钟端口所需要的时间。

  • 3
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Design Compiler SDC约束是用于设计综合的一种约束语言,它能够定义设计在综合过程中的时序和功能要求。SDC意为Synopsys Design Constraints,通过在设计综合之前定义和添加这些约束,可以确保设计的正确性和性能目标的达成。 SDC约束主要包括时钟频率、时序路径、时序推迟、时钟握手协议等方面的约束时钟频率是指设计中时钟的振荡频率,需要在SDC中进行显式的指定。时序路径是指信号在设计中的传输路径,通过定义这些路径的时序要求,可以确保设计的时序符合预期。时序推迟是指时序与延迟之间的关系,可以通过SDC约束来指定某些路径的最大延迟或最小延迟。时钟握手协议是指时钟和数据之间的逻辑关系,通过SDC约束可以确保正确的时钟握手协议被遵守。 使用SDC约束的过程一般包括以下几个步骤:首先,根据设计的要求和目标,在设计启动之前确定所需的约束类型。然后,通过定义时钟频率及相关约束,确保设计中时钟的正常运行。接着,通过定义时序路径和相关约束,确保信号在设计中的正确传输和时序要求的达成。最后,根据具体设计的需求,定义时序推迟和时钟握手协议的约束,以确保设计在时序和功能上的要求被满足。 总的来说,Design Compiler SDC约束是一种重要的工具和语言,可以帮助设计工程师在设计综合过程中进行时序和功能要求的定义和控制,从而实现设计的正确性和性能目标。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值