特殊的sdc设置

set_input_delay -clock_fall 使用示例

        假设设计中有一个输入端口 data_in,它是相对于时钟 clk 的下降沿有效。你希望设置输入信号延迟为 2ns,可以使用以下命令:

set_input_delay -clock clk -clock_fall 2 [get_ports data_in] -add_delay

        在这个例子中,data_in 输入信号的延迟是相对于时钟 clk 的下降沿,并且延迟时间为 2ns。

  set_input_delay 默认情况下是基于时钟的上升沿(rising edge),即如果你不指定 -clock_fall,工具会自动认为输入信号的延迟是相对于时钟的上升沿。

        DDR(Double Data Rate)接口:输入数据在时钟的上升沿和下降沿同时传输,因此需要对时钟的上升沿和下降沿分别设置输入延迟。

set_max_delay -ignore_clock_latency

create_generated_clock建议使用-edge指定边沿

create_generated_clock -name div_1p5 -source [get_attr [get_clocks clk_root_pll] sources] -edges { 1 3 4} -master_clock [get_clocks clk_root_pll] [get_pins  */donttouch_clkbuf/Z] -add

set_input_delay -max和-min建议成对指定

可以在工具分析下只指定-max, 不指定-min的情况。
 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值