年轻人的第一次Quartus和Modelsim(Testbench)

这里以Quartus免费版举例

1. 项目建立:

  1. 打开Quartus,从【File=>NewProjectWizard】新建一个项目。
  2. 给项目设立文件夹,命名,并且选择Simulation为‘ModelSim—Altera’,‘Verilog’
  3. Finish结束(因为我没有打算烧板子,其他就不选)

图2

2. 编写Verilog设计文件:

1.从【File=>New】新建一个文件,选择【Design Files=>Verilog HDL File】新建v文件。
2. 开始编写Verilog。(代码引用来自: link

module dff(clk,clr,rst,d,q);//clr清0,rst复位
	input clk,clr,rst,d;
	output q;
	reg q;
	always@(posedge clk or posedge clr)
	begin
		if(clr==1'b1)q<=1'b0;
		else if(rst==1'b1)q<=1'b1;
		else q<=d;
	end
endmodule

  1. 保存,文件名请与model保持一致。点击上方蓝色三角形进行编译。
    在这里插入图片描述

3. 1 使用Simulation Waveform Editor仿真:

1.在Quartus中,从【File=>New】新建一个文件,选择【Verification/DebuggingFilse=>UniversityProgramVMF】新建vmf文件。
2. 如图
在这里插入图片描述
3.
在这里插入图片描述

  1. 通过上方按钮拉一些波形在这里插入图片描述
    在这里插入图片描述

  2. 从【Simulation=>SimulationSetting】处,修改ModelSimScript框,把里面的‘ -novopt’这段删掉。完成点SAVE。
    在这里插入图片描述

  3. 点击【Simulation=>RunFunctionalSimulation】,查看结果。
    在这里插入图片描述

3. 2 使用Modelsim(Testbench) 仿真:

1.在Quartus中,打开【Assignments=>settings】框,查看这几个选项是否如图所示。
在这里插入图片描述
2.如图所示,生成testbench模板文件。在这里插入图片描述
3. 在Quartus中,打开【File=>open】框,从项目文件里的【\simulation\modelsim】中找到vt文件,打开。
在这里插入图片描述
4. 编写vt文件。



`timescale 1 ps/ 1 ps
module DFF1_vlg_tst();
// constants                                           
// general purpose registers
reg eachvec;
// test vector input registers
reg clk;
reg clr;
reg d;
reg rst;
// wires                                               
wire q;

// assign statements (if any)                          
DFF1 i1 (
// port map - connection between master ports and signals/registers   
	.clk(clk),
	.clr(clr),
	.d(d),
	.q(q),
	.rst(rst)
);



initial
	begin 
		clk=1'b0;
		forever #10 clk=~clk;
	end 

initial 
	begin
		clr=1'b0;
		rst=1'b0; d=1'b0;
		#10 rst=1'b1;clr=1'b0;d=1'b0;
		#10 rst=1'b1;clr=1'b1;d=1'b1;
		#10 rst=1'b0;clr=1'b0;d=1'b1;
		#20 d=1'b0;
		#20 d=1'b1;
	end

                                                  
always                                                 
// optional sensitivity list                           
// @(event1 or event2 or .... eventn)                  
begin                                                  
// code executes for every event on sensitivity list   
// insert code here --> begin                          
                                                       
@eachvec;                                              
// --> end                                             
end   


                                                 
endmodule


6.在Quartus中,打开【Assignments=>settings】框,如图点击testbench按钮。之后点NEW。
在这里插入图片描述

7.如图修改
在这里插入图片描述
8.在Quartus中,【Tool=>RunSimulationTool=>RTLSimulation】,打开弹出的Modelsim窗口。查看波形。在这里插入图片描述

  • 0
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值