VHDL规范化编程:

本文探讨了在VHDL编程中,如何进行case语句的规范化使用,特别是当when子句包含多个条件时的处理方式。通过实例解析,帮助读者理解如何优雅地组织代码,提高设计的可读性和可维护性。
摘要由CSDN通过智能技术生成

1.case的when语句中,同时有多个条件

SIGNAL cnt_4:std_logic_vector(7 DOWNTO 0);
--------
case(cnt_4) is
            when x"02"|x"46" | x"90"|x"d4"
  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值