VHDL语法三

一、CASE 语句

1. CASE语句的一般表述:

CASE <表达式> IS

WHEN <选择值或标识符> => <顺序语句>; ... ; <顺序语句>;

WHEN <选择值或标识符> => <顺序语句>; ... ; <顺序语句>;

...

WHEN OTHERS => <顺序语句>;

END CASE;

2. CASE语句属于顺序语句,因此必须放在进程语句PROCESS中使用。

3. 如果条件句中的选择值没有全部包括表达式的取值,就要加上最后一句WHEN OTHERS => <顺序语句>。且OTHERS只能出现一次,NULL表示不做任何操作。

4. CASE语句中不允许有相同值的条件语句出现。

5. 选择值有四种不同的表达方式:单个普通数值、数值选择范围、并列数值、混合方式。

6. 加了WHEN OTHERS => NULL语句,则可能出现输出口综合出时序逻辑模块,这是应该避免的。

二、VHDL例化语句

1. 例化语句最简表达式:

COMPONENT 元件名 IS

    PORT (端口名表);

END COMPONENT 文件名;

2. 端口名关联法:元件名 PORT MAP([端口名 =>] 连接端口名, ... );


  • 3
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
VHDL(VHSIC Hardware Description Language)是一种硬件描述语言,用于描述数字电路和系统。在VHDL中,"open"是一个关键字,用于表示信号或变量的初始值未被指定或未定义。下面是open在VHDL中的语法说明和举例: 1. 语法说明: 在VHDL中,open通常用于信号或变量的初始化。它可以用作变量的默认值,表示未指定初始值,或者用作信号的默认值,表示未连接到其他信号或端口。 2. 举例: 以下是open在VHDL中的一些示例用法: - 信号初始化为open: ```vhdl signal my_signal : std_logic; ``` 在这个例子中,信号my_signal被初始化为open。这意味着它的初始值未指定或未定义。 - 变量初始化为open: ```vhdl variable my_variable : integer := open; ``` 在这个例子中,变量my_variable被初始化为open。这意味着它的初始值未指定或未定义。 - 信号连接到open: ```vhdl component my_component is port ( input_signal : in std_logic; output_signal : out std_logic ); end component; ... my_instance : my_component port map ( input_signal => open, output_signal => my_output_signal ); ``` 在这个例子中,input_signal被连接到open。这意味着它未连接到任何其他信号或端口。 请注意,open在VHDL中的具体用法取决于您的设计需求和上下文。以上只是一些常见的用法示例,您可以根据实际情况自行调整。建议在编写VHDL代码时参考相关的VHDL语法规范和文档。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值