VHDL语言中CASE语句使用注意

CASE语句根据满足的条件直接选择多项顺序语句中的一项执行,常用来描述总线,编码,译码等线路

CASE语句结构为:

 CASE 表达式 IS 

WHEN 条件选择值=>顺序语句
WHEN 条件选择值=>顺序语句

.

.

.

WHEN 条件选择值=>顺序语句

END CASE ;

CASE语句使用注意:(1)分支条件的值必须在表达式的取值范围内;(2)两个分支条件不能重叠; (3)CASE语句执行时必须选中,且只能选中其中一条分支语句;

(4)如果没有OTHERS分支条件存在,则分支条件必须覆盖表达式所有可能的值,对STD_LOGIC,STD_LOGIC_VECTOR 数据类型要特别注意使用OTHERS分支条件

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值