SATA FPGA IP CORE verilog驱动开发

当前状态: 支持底层:GTX GTH GTY

  1. 支持1.5Gbps, 3Gbps,6Gbps线速率
  2. 提供fifo数据流接口,支持扁平式地址访问(只需提供首扇区地址和总扇区长度,控制器内置DMA控制器实现连续的扇区地址管理访问),实现大流量数据的读写
  3. 提供双端口RAM接口,支持单个扇区地址访问,实现512-byte字节数据的读写
  4. 提供双端口RAM接口,支持1~16个扇区地址访问,实现最多8192-byte字节数据的读写
  5. 提供双端口RAM接口,支持1~16个512-byte block(LBA Range Entry列表),实现Trim功能
  6. 提供SATA Host寄存器接口,包括状态和错误寄存器输出
  7. 提供设备自检状态输出、设备参数输出(设备最大可用扇区数等)、Trim支持
    已经在多个SSD(英特尔,三星,美光,OCZ,金士顿,Sandisk等)上测试验证,控制器性能如下:
  8. SATA 2接口,连续写速度大于230MB/s,连续读速度大于250MB/s.
  9. SATA 3接口,连续写速度大于550MB/s,连续读速度大于570MB/s
    在这里插入图片描述
    在这里插入图片描述

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
PS:Linux SATA文件系统开发中。。

  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值