EDA与VHDL作业(4)

题目:设计一个具有同步置1,异步清零的D触发器。

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY DFF1 IS
    PORT(CLK, CLR, SET : IN STD_LOGIC;
            Q : OUT STD_LOGIC);
END DFF1;

ARCHITECTURE BHV OF DFF1 IS
BEGIN 
    PROCESS (CLK, SET, CLR)
    BEGIN
        IF CLR = '1' THEN Q <= '0';
        ELSIF CLK'EVENT AND CLK = '1' THEN
            IF SET = '1' THEN Q <= '1';
            ELSE Q <= '0';
        END IF;
    END PROCESS;
END BHV;

不知道为什么回复不了评论,就在这里说吧~
定义的端口名,信号,变量等等,名称都是你随便的定义的,具体什么功能只看你怎么用而已,只不过为了让变量名称跟具体使用相关联,容易让别人看懂,所以一般取比较有意义的名字,不用太死扣里面有什么区别

评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值