fpga综合脚本tcl分析

1.首先需要设置工艺库

set search_path *********

set link_library *******

set target_library *******

2.将需要综合的文件依次罗列下来,格式

analyze -format verilog 路径.v

analyze -format verilog 路径.v

analyze -format verilog 路径.v

3.设置顶层 elaborate xxx

4.设置时钟,create_clock -name 时钟的名字 -period 多少ns [get_ports clk]

  • 1
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值