数字电路基础与Quartus-||入门

在Quartus-||中设计D触发器并仿真验证时许波形

1.创建工程

在file下点击New Project Wizard
在这里插入图片描述

然后填写工程名称

根据使用的FPGA选择芯片类型
在这里插入图片描述

‘然后点击next
在这里插入图片描述

然后创建工程完成
在这里插入图片描述

2.创建文件

点击new

选择Block Diagram/Schematic File

择nand2,二个输入的与非门,依次添加四个and2和一个非门not
在这里插入图片描述

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值