VHDL借助十进制计数器实现100进制计数器 74160

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity count100 IS
port
(
	clk:in std_logic;
	rco:out std_logic
);
end;

architecture dataflow of count100 is
SIGNAL RCO1:STD_logic;
SIGNAL RCO2:STD_logic;
SIGNAL RCOTEMP:STD_logic;
SIGNAL RCOTEMP2:STD_logic;
COMPONENT counter10 is
port
(clk,res,set: in std_logic;
d:in std_logic_vector(3 downto 0);
p,t:std_logic;
c: out std_logic_vector(3 downto 0);
cout:out std_logic;
temp:buffer std_logic_vector(3 downto 0)
);
end COMPONENT;
BEGIN

RCO<=RCO1 AND RCO2;
COUNT1: COUnter10 PORT MAP(clk=>clk,P=>'1',T=>'1',COUT=>RCO1,res=>'1',set=>'1',d=>"0000");
COUNT2: COUnter10 PORT MAP(clk=>clk,P=>RCO1,T=>'1',COUT=>RCO2,res=>'1',set=>'1',d=>"0000");
END;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity count100 IS
port
(
	clk:in std_logic;
	rco:out std_logic
);
end;

architecture dataflow of count100 is
SIGNAL RCO1:STD_logic;
SIGNAL RCO2:STD_logic;
SIGNAL RCOTEMP:STD_logic;
SIGNAL RCOTEMP2:STD_logic;
COMPONENT counter10 is
port
(clk,res,set: in std_logic;
d:in std_logic_vector(3 downto 0);
p,t:std_logic;
c: out std_logic_vector(3 downto 0);
cout:out std_logic;
temp:buffer std_logic_vector(3 downto 0)
);
end COMPONENT;
BEGIN

RCO<=RCO1 AND RCO2;
COUNT1: COUnter10 PORT MAP(clk=>clk,P=>'1',T=>'1',COUT=>RCO1,res=>'1',set=>'1',d=>"0000");
COUNT2: COUnter10 PORT MAP(clk=>clk,P=>RCO1,T=>'1',COUT=>RCO2,res=>'1',set=>'1',d=>"0000");
END;
  • 4
    点赞
  • 24
    收藏
    觉得还不错? 一键收藏
  • 6
    评论
评论 6
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值