异步复位,同步释放

先看一下同步复位和异步复位:

同步复位:

优点:有利于仿真器仿真;确保电路100%同步,有利于时序分析;复位只发生在时钟有效沿,可以过滤掉高于时钟频率的毛刺。

缺点:复位信号必须长于一个时钟周期;大多数厂商只有异步复位端口,同步复位需插入组合逻辑,耗费资源。

异步复位:

优点:无需额外的组合逻辑,节约资源;复位信号识别简单。

缺点:复位信号释放时,若恰恰在时钟沿附件,亚稳态;复位信号容易受到毛刺影响。

异步复位,同步释放

代码如下:

module sync_rst(
    input clk,
    input rst_async_n,
    output rst_sync_n
);
reg rst_s1, rst_s2;

always@(posedge clk or negedge rst_async_n) begin
    if(!rst_async_n) begin
         rst_s1<=0;
         rst_s2<=0
    end
    else begin
         rst_s1<=1;
         rst_s2<=rst_s1;
    end
end

assign rst_sync_n = rst_s2;

endmodule

异步复位,同步释放为什么可以消除亚稳态传播

  • 9
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值