Modelsim与Vivado联合仿真问题解决

一、USF-ModelSim-51

[USF-ModelSim-51] Path to custom 'vsim.exe' executable program does not exist:D:/modelsim%202019.2/win64/vsim.exe'

解决办法:

modelsim 安装路径存在空格导致不能正常使用_[usf-modelsim-51] path to custom 'vsim.exe' execut-CSDN博客

二、USF-ModelSim-70

 [USF-ModelSim-70] 'compile' step failed with error(s) while executing 'G:/Project/13_lcd_rgb_colorbar/lcd_rgb_colorbar.sim/sim_1/behav/modelsim/compile.bat' script. Please check that the file has the correct 'read/write/execute' permissions and the Tcl console output for any other possible errors or warnings.

问题解决参考: 

Modelsim仿真问题解疑二:ERROR: [USF-ModelSim-70]_launch_simulation 报错,.bat文件打不开-CSDN博客

在添加了环境变量值LM_LICENSE_FILElicense路径后,再重启电脑,我的问题得到了解决。

 

三、vopt-19

在成功启动了modelsim之后,modelsim并未仿真成功,而是报出了如下错误:

# vsim -voptargs=""+acc"" -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -lib xil_defaultlib xil_defaultlib.tb_lcd_rgb_colorbar xil_defaultlib.glbl 
# Start time: 23:55:27 on Jan 02,2024
# ** Note: (vsim-3812) Design is being optimized...
# ** Error (suppressible): (vopt-19) Failed to access library 'D:/modelsim 2019.2/Vivado2019.2_lib/unisims_ver' at "D:/modelsim 2019.2/Vivado2019.2_lib/unisims_ver".
# No such file or directory. (errno = ENOENT)
# ** Error (suppressible): (vopt-19) Failed to access library 'D:/modelsim 2019.2/Vivado2019.2_lib/unimacro_ver' at "D:/modelsim 2019.2/Vivado2019.2_lib/unimacro_ver".
# No such file or directory. (errno = ENOENT)
# ** Error (suppressible): (vopt-19) Failed to access library 'D:/modelsim 2019.2/Vivado2019.2_lib/secureip' at "D:/modelsim 2019.2/Vivado2019.2_lib/secureip".
# No such file or directory. (errno = ENOENT)
# ** Warning: ../../../../lcd_rgb_colorbar.srcs/sim_1/new/tb_lcd_rgb_colorbar.v(27): (vopt-2685) [TFMPC] - Too few port connections for 'u_lcd_rgb_colorbar'.  Expected 9, found 8.
# ** Warning: ../../../../lcd_rgb_colorbar.srcs/sim_1/new/tb_lcd_rgb_colorbar.v(27): (vopt-2718) [TFMPC] - Missing connection for port 'lcd_rst'.

根据报错的提示,是在修改了 USF-ModelSim-51的空格路径以后,Modelsim本体的编译路径依旧是旧版本的带空格的路径:D:/modelsim 2019.2/Vivado2019.2_lib/unisims_ve。

后来发现是我一开始进行Vivado和Modelsim联合仿真,在D:\modelsim2019.2\modelsim.ini设置的lib地址还是原来带空格的地址。设置的教程如下:

Vivado联合ModelSim仿真设置(附图步骤)_modelsim关联vivado-CSDN博客

可以看到在modelsim.ini中的地址仍然带有空格

那么接下来再次进行上述编译,重新创建一个新的库,然后再次添加地址即可。 

这是成功仿真的情况:

四 、Modelsim卡在Executing analysis and compilation step

解决办法: 

Modelsim的仿真之路(仿真工程的使用)_modelsim仿真-CSDN博客 

解决Vivado与modelsim仿真卡在Executing analysis and compilation step-CSDN博客 

  • 13
    点赞
  • 27
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
### 回答1: ModelSimVivado可以通过Tcl脚本实现联合仿真。具体步骤如下: 1. 在Vivado中生成IP核或设计顶层模块,并进行RTL仿真,生成仿真波形文件。 2. 在ModelSim中创建一个新的工程,将Vivado生成的仿真波形文件添加到工程中。 3. 在ModelSim中编写Tcl脚本,通过调用Vivado的Tcl命令,将Vivado中生成的设计文件和仿真波形文件导入到ModelSim中。 4. 在ModelSim中运行Tcl脚本,进行联合仿真。 需要注意的是,联合仿真需要保证VivadoModelSim的版本兼容性,同时需要在ModelSim中添加Vivado的库文件路径。 ### 回答2: Modelsaim是一款功能强大的数字电路仿真工具,而Vivado则是Xilinx公司的一款综合工具,两者结合可以实现综合、仿真、分析等多种设计任务,同时可以提高仿真效率、准确性,优化设计流程。下面分别从Modelsaim和Vivado的特点、联合仿真的流程和注意事项等方面来介绍Modelsaim与Vivado联合仿真的基本原理及优点。 Modelsaim的特点: 1. Modelsaim支持多种电路级描述语言,如VHDL、Verilog等,适用性强。 2. Modelsaim提供了可视化UI界面,设计方便快捷,同时还支持脚本编程方式。 3. Modelsaim内置了丰富的debug工具,可以快速定位问题解决。 4. Modelsaim可以与其他EDA工具和开发环境进行无缝集成。 Vivado的特点: 1. Vivado不仅仅是一款综合工具,还支持VHDL、Verilog、System Verilog等多种语言,可以实现FPGA的综合、实现、调试等多种设计任务。 2. Vivado具有高并发性和高效性,可以提高设计效率和准确性。 3. Vivado提供了全面的IP库,可以加速设计流程,提高设计质量。 Modelsaim与Vivado联合仿真流程: 1. 在Vivado中打开工程,并添加待仿真的模块,综合电路并生成网表文件。 2. 在JSON文件中将Modelsaim作为仿真工具添加到Vivado工程中。 3. 在Modelsaim中打开已生成的网表文件,并添加测试文件(如VHDL、Verilog测试文件)或手动测试案例。 4. 在Modelsaim中运行仿真,并查看仿真结果,通过调整测试数据、优化设计等方式进行debug。 5. 如果需要进行更复杂的联合仿真,可以在Vivado中加入外部模块,并将其连接到已经综合好的模块。 联合仿真注意事项: 1. 确保Vivado版本和Modelsaim版本的兼容性,避免因版本不一致而引发错误。 2. 在采用联合仿真前,需要先确保Vivado工程中的设计已经完成,且生成的网表文件正确无误。 3. 如果在联合仿真中遇到问题,可以先分开使用Vivado和Modelsaim进行仿真,逐步确认问题的源头,并进行调试。 综上所述,Modelsaim与Vivado联合仿真可以实现FPGA设计的快速调试和优化,同时可以提高开发效率和设计流程。在实际应用中,我们需要结合实际情况和要求,选择合适的EDA工具组合来完成我们的设计任务。 ### 回答3: MODELSIMVivado是常用的Verilog/VHDL设计和仿真工具。一般情况下,可以使用MODELSIM进行仿真。但是,由于Vivado具有综合功能,因此Vivado仿真结果可能会更加准确。因此,使用MODELSIMVivado进行联合仿真可能会产生更好的仿真结果。 MODELSIMVivado联合仿真主要有两种方法:TCL脚本和Vivado源代码注释。 在TCL脚本方法中,需要编写一个TCL脚本来连接MODELSIMVivado。在Vivado环境下启动MODELSIM仿真器,执行仿真,并将仿真器的输出结果传递回Vivado。此方法需要一定的编程技能和经验,并且需要仔细阅读MODELSIMVivado的文档。 在Vivado源代码注释方法中,可以在Verilog/VHDL代码中添加注释,以指示Vivado将模块导出到MODELSIM。此方法比TCL脚本更简单,但是需要对设计语言的语法和注释方法有良好的掌握。 在进行联合仿真之前,需要确保MODELSIMVivado都已安装并配置正确。在MODELsim环境下,需要确保仿真器与Vivado能够进行正常的通信。在Vivado环境下,需要确保选择了正确的仿真器,并配置了仿真器的工作目录和仿真选项。 对于大型项目,进行联合仿真可以提高仿真的准确度,并且可以更快地发现设计中的问题。在调试设计时,需要仔细分析仿真结果,并进行适当的修改和优化。在进行联合仿真时,需要对设计中所有的模块进行仿真,并且需要对仿真结果进行比较和分析。如果发现仿真结果与预期结果不一致,需要仔细检查仿真参数和设计文件,并采取适当的措施来解决问题

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值