OSERDES和OBUFDS在LVDS视频传输中的应用

Select IO 资源 分三类:基元、逻辑资源、高级逻辑资源。

A、基元

B、逻辑资源

C、高级逻辑资源

 

1、OSERDES与ODDR区别:

OSERDES数据入口为1个并行口,2个clk,通过高倍时钟串行化,低位先发LSB;

ODDR  数据入口为2个单bit口,1个clk,clk双沿分别发两个口数据。接收端用双沿采样。

2、通过原语调用MMCM或PLL,也可用GUI方式。

3、OSERDES2

模块实现把并行数据转化为串行数据,串行时钟的速率与并行数据宽度有关,可参见《UG190-输出并串转换(OSERDES)-Virtex5》;

1)宽度扩展接法

2)数据输出有DDR和SDR两种方式

3)数据输出的延迟

4、OBUFDS

 

原语实现把单端转差分,一个输出原信号,一个输出取反信号,需明确信号电平。

 

OBUFDS #(

      .IOSTANDARD("DEFAULT"), // Specify the output I/O standard

      .SLEW("SLOW")           // Specify the output slew rate

   ) OBUFDS_inst (

      .O(O),     // Diff_p output (connect directly to top-level port)

      .OB(OB),   // Diff_n output (connect directly to top-level port)

      .I(I)      // Buffer input

   );

5、在LVDS视频中的应用

1)整体信号的处理方案

2)差分对在配置管脚时输入p端,自动匹配出n端:

3)时钟输出与数据宽度匹配

  1. 钟输出方法

时钟为与数据同路径,且相位固定,也采用 OSERDES,用5倍时钟输出1倍时钟。

-- Clock needs no encoding, send a pulse

ClockSerializer: entity work.OutputSERDES

   generic map (

      kParallelWidth => 10) -- TMDS uses 1:10 serialization

   port map(

      PixelClk => PixelClkIO,

      SerialClk => SerialClkIO,

      sDataOut_p => TMDS_Clk_p,

      sDataOut_n => TMDS_Clk_n,

      --Encoded parallel data (raw)

      pDataOut => "1111100000",      

        aRst => pRstLck);

4) DDR方式10:1宽度仿真

参考资料  《UG190  Virtex-5 FPGA User Guide

  • 0
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值