verilog实现有符号数求绝对值

原码求绝绝对值,比较简单就不再说明,这里按照补码就绝对值进行分析

补码 -->原码
如果数据位负数 则符号位不变 数据位按位求反 + 1;
如果数据位正数,则补码 = 原码

module OToC_code(
	
	input [4:0] a,
	output [4:0] out
    );


	
//assign out = (a[4] == 1)?({a[4],~a[3:0]}+1):a;

assign  out = (a[4] == 1)?(~a + 1'b1):a;
endmodule

在这里插入图片描述

评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值