FPGA研发之道(13)-设计不是凑波形(三)RAM

本文探讨了FPGA中的RAM资源,包括块RAM和分布式RAM的使用。介绍了单端口、双端口RAM以及ROM的形式,并指出RAM例化深度小于256时在某些FPGA中仍会占用完整块RAM。通过分析器件限制,如 Cyclone 4 的RAM9K,强调正确配置RAM以提高资源利用率的重要性。同时,文章提到了ALTERA和XILINX的RAM初始化方法及其差异,并展示了如何使用VERILOG语言描述RAM,让编译工具自动生成IP核。
摘要由CSDN通过智能技术生成

在FPGA内部资源中,RAM是较为常用的一种资源。

     通常实例化RAM中,一种使用为BLOCK RAM 也就是块RAM 。另外资源可以通过寄存器搭,也就是分布式RAM。前者一般用于提供较大的存储空间,后者则提供小的存储空间。

     在实际应用过程中,一般使用的包括,单端口、双端口RAM,ROM等形式等不同的形式。 实际应用中FIFO也是利用RAM和逻辑一起实现的。

    对于一块RAM中,其能够例化的深度是有限的。例如cyclone4的RAM9k中可以例化的资源如下所示:


     因此:例化化深度<256的RAM,其同样也需要占用一块BLOCKRAM的资源,例如例化宽度为64 深度为128的RAM,其资源为8K 。但是仍然需要占用两块BOCK RAM。也就是说,只要例化RAM深度少于256.则对于本器件9K来说,剩下的资源也是浪费。


    那是不是可以例化成双端口RAM,通过高位地址区分,变为两个单端口RAM(width:32,depth:128)来使用,这样就可以节省资源了?

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值