System Verilog学习笔记(十四)——类的继承

本文介绍了SystemVerilog中的类继承机制,包括如何通过`extends`关键字继承父类,以及子类如何继承并可能覆盖父类的方法和变量。特别强调了`super`关键字的作用,以及父类句柄与子类句柄的使用区别。
摘要由CSDN通过智能技术生成

System Verilog学习笔记(十四)——类的继承

类的继承

class linkedpacked extends packet; //通过extends,linkedpacked继承父类packet
	linkedpacked next;
	function linkedpacked get_next();
		get_next=next;
	endfunction
endclass
  • 原始类被称为父类,扩展类称为子类
  • 对象和方法都继承,即使在子类里没有new函数,也会默认调用new函数。
  • 如果在子类里定义了新的变量值,那么它不会继承父类。
  • super是用来访问当前对象其父亲的成员
  • 父类句柄可以指向子类的对象,如果子类中声明了与父类同名的成员,那么对其同名成员的访问都将指向子类,而父类成员将被覆盖
  • 子类句柄可以直接赋值给父类句柄,父类句柄不可以直接赋值给子类句柄
  • 子类的变量名尽量不要和父类一致
  • 29
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值