【System Verilog and UVM基础入门7】类的继承

本文介绍了System Verilog和UVM中的类继承概念,强调了面向对象编程中继承的重要性,允许代码重用和提高执行效率。通过实例说明,所有testcase都继承自test_base,并且可以通过继承实现子类对父类所有成员的访问。文章鼓励读者讨论学习,作者提供邮件lixingyi09@qq.com分享相关文档。
摘要由CSDN通过智能技术生成

目录

【项目实战】

【概念讲解】

【代码示例】


【项目实战】

所有的testcase都是继承test_base. 如果testcase中想例化一个task和function,不妨把它放在test_base中。你会惊奇的发现所有的用例,都可以用这个函数/任务!

这就是面向对象语言的魅力!


【概念讲解】

面向对象程序设计中最重要的一个概念是继承。继承允许我们依据另一个类来定义一个类,这使得创建和维护一个应用程序变得更容易。这样做,也达到了重用代码功能和提高执行效率的效果。

当创建一个类时,您不需要重新编写新的数据成员和成员函数,只需指定新建的类继承了一个已有的类的成员即可。这个已有的类称为基类,新建的类称为派生类

继承代表了 is a 关系。例如,哺乳动物是动物,狗是哺乳动物,因此,狗是动物,等等。

// 基类
class Animal {
    // eat() 函数
    // sleep() 函数
};


//派生类
class Dog : public Animal {
    // bark() 函数
};
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值