SystemVerilog——Polymorphism(多态)的理解

     SystemVerilog作为一门面向对象的语言,和其他面向对象的语言一样,特性就是:封装,继承,多态

     尝试着去理解多态,网上有说:多态指调用相同的名字和方法,得到的结果是不同的。(from java) 

在SV中,If an instance sends a stimulus to another instance, but does not have to be aware of which class the receiving instance belong to, we say that we have polymorphism.

从例子去理解:

        父类含有名为 compute_crc() 的函数,子类继承后还含有自己的 compute_crc()。有个函数 crc 需要传递的参数类型为Packet,并调用该类的 compute_crc() 函数。

注意 p2.crc = crc (p2); 该语句执行时,p2 为 MyPacket 类型,是 Packet 的子类,传递给crc() 函数,这样实际调用的是Packer中的那个 compute_crc()函数,所以最后一句话输出结果还是1。

 

避免多态性

     采用 virtual 声明

    看到class里面的两个function都是用 virtual 声明的,这样,最后一句语句p2调用的就是自己的 compute_crc()了,最终的结果如下:

  • 6
    点赞
  • 73
    收藏
    觉得还不错? 一键收藏
  • 4
    评论
SystemVerilog中的多态polymorphism特性是指子类和父类之间多个子程序使用同一个名字的现象。当子类从父类扩展创建之后,子类就继承了父类的属性和方法,这是SystemVerilog的继承特性。然而,要使用多态特性,需要遵循以下规则: 1. 子类的方法必须与父类的方法具有相同的名称和参数列表。 2. 子类的方法可以重写(override)父类的方法,即在子类中重新定义方法的实现。 3. 子类的方法可以通过super关键字调用父类的方法。 4. 子类的方法可以通过this关键字调用自身的其他方法。 通过使用多态特性,可以在不同的子类对象上调用相同的方法,但实际执行的是各自子类中的方法实现。这样可以提高代码的灵活性和可扩展性。 以下是一个示例代码,演示了SystemVerilog中类的多态特性: ```systemverilog class Animal; virtual function void makeSound(); $display("Animal makes sound"); endfunction endclass class Dog extends Animal; function void makeSound(); $display("Dog barks"); endfunction endclass class Cat extends Animal; function void makeSound(); $display("Cat meows"); endfunction endclass module Test; initial begin Animal a; Dog d; Cat c; a = new; d = new; c = new; a.makeSound(); // 输出:Animal makes sound d.makeSound(); // 输出:Dog barks c.makeSound(); // 输出:Cat meows end endmodule ``` 在上述示例中,Animal类是父类,Dog和Cat类是子类。它们都有一个名为makeSound的方法。通过创建不同的子类对象,并调用它们的makeSound方法,可以看到每个子类对象都执行了自己的方法实现,实现了多态特性

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值