FPGA之多路复选器1

  7系列FPGA中的LTU和相关的多路复选器可以实现以下功能:

使用一个LUT的4: 1多路复选器

使用两个LUT的8: 1多路复选器

使用四个 LUT 的 16: 1多路复选器

4:1复选器

  1个LUT可以配置为4: 1多路复选器。4:1多路复选器可以通过触发器在同一片中实现。一个slice中最多可以实现四个4: 1多路复选器,如下所示:

以 LUTA为例不经过 D触发器的对应代码:

always@(*)

case (SELA[1:0])

2"b00: A=DATA_A[0];

2'b01: A=DATA_A[1];

2"510: A=DATA_A[2];

2b11:A= DATA_A[3];

default:A= 1'bx;

endcase

继续以 LUTA为例经过 D 触发器的对应代码:

always@(posedge CLK)

case (SELA[1:0])

2b00: A <=DATA_A[0];

2b01: A<=DATA_A[1];

2b10: A <=DATA_A[2];

2b11: A<=DATA_A[3];

default:A<= 1'bx;

endcase 

  • 11
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

行者..................

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值