【FPGA】Quartus-II用三种方式实现D触发器

一、在Quartus-II中自己用门电路设计一个D触发器及仿真

1、创建工程

File->New Project Wizard
选择存储路径和工程名称
在这里插入图片描述
选择芯片类型和型号
在这里插入图片描述
项目整体信息:
在这里插入图片描述
File->New
在这里插入图片描述
选择4个nand2
在这里插入图片描述
选择一个not
在这里插入图片描述
整体效果:
在这里插入图片描述

选择合适的线将其连接
整体效果如下:
在这里插入图片描述
保存并编译:
在这里插入图片描述

2、编译原理图文件

选择Tools->Netlist Viewers->RTL Viewer
在这里插入图片描述
效果如下:
在这里插入图片描述

3、创建vwm格式波形文件

new->University Program VWF
在这里插入图片描述
选择Edit->Insert->Insert Node or Bus
在这里插入图片描述
添加Node or Bus
在这里插入图片描述
在这里插入图片描述
选中CLI,产生时钟信号
在这里插入图片描述
选择D,C,Q信号,进行编辑 双击对应部分,对value进行修改

4、仿真结果

在这里插入图片描述

二、在Quartus-II中直接调用一个D触发器及仿真

1、创建工程

File->New Project Wizard
选择存储路径和工程名称
在这里插入图片描述
选择芯片类型和型号
在这里插入图片描述
项目整体信息:
在这里插入图片描述

2、调用D触发器

File->New->Block…
在这里插入图片描述
按照下图连接:
在这里插入图片描述
编译:
在这里插入图片描述
查看硬件电路图
在这里插入图片描述

3、时序仿真结果

在这里插入图片描述

三、在Quartus-II中用Verilog语言写一个D触发器及仿真

1、编写Verilog文件

编写Verilog文件
在这里插入图片描述
代码:

//VOL_chufaqi是文件名
module VOL_chufaqi(d,clk,q);
    input d;
    input clk;
    output q;

    reg q;

    always @ (posedge clk)//我们用正的时钟沿做它的敏感信号
    begin
        q <= d;//上升沿有效的时候,把d捕获到q
    end
endmodule

编译结果:
在这里插入图片描述

2、查看生成的电路图

在这里插入图片描述

3、仿真效果

在这里插入图片描述

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值