Quartus II三种方式实现D触发器

一、D触发器原理

D触发器是一个具有记忆功能的,具有两个稳定状态的信息存储器件,是构成多种时序电路的最基本逻辑单元,也是数字逻辑电路中一种重要的单元电路。

  • 功能表

在这里插入图片描述

  • 方程

在这里插入图片描述

  • 时序图

在这里插入图片描述

二、D触发器的设计

  • 新建项目
    在这里插入图片描述

  • 点击next

在这里插入图片描述

  • 选择芯片系列与类型

在这里插入图片描述

  • next

在这里插入图片描述

  • finish

在这里插入图片描述

  • 添加文件

在这里插入图片描述

  • 添加器件

在这里插入图片描述

  • 4个nand2与非门,2个not非门,2个输入,2个输出
    在这里插入图片描述
  • 保存

在这里插入图片描述

  • 编译,显示没错误继续

在这里插入图片描述

  • 查看模拟电路

在这里插入图片描述
如图
在这里插入图片描述

  • 新建波形文件

在这里插入图片描述

  • 添加信号

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

  • 设置信号

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

  • 运行查看仿真波形

在这里插入图片描述

三、调用D触发器并仿真

  • 新建项目同上,直接跳到添加D触发器

在这里插入图片描述

  • 添加信号输入输出

在这里插入图片描述

  • 保存文件

在这里插入图片描述
在这里插入图片描述

  • 查看虚拟电路

在这里插入图片描述

  • 查看仿真波形验证

在这里插入图片描述

四、用Verilog语言实现D触发器

  • 新建工程同上,直接跳到添加Verilog文件

在这里插入图片描述
代码

module  dtrigger(D,CLK,Q);
    input D;
    input CLK;
    output Q;

    reg Q;

    always @ (posedge CLK)//我们用正的时钟沿做它的敏感信号
    begin
        Q <= D;//上升沿有效的时候,把d捕获到q
    end
endmodule


  • 保存文件

在这里插入图片描述

  • 查看电路

在这里插入图片描述

  • 查看仿真波形

在这里插入图片描述

五、总结

三种方法作比较显然verilog语言编写比较简单,但是拖动器件更加直观可以看到D触发器的工作原理。

六、参考链接

D触发器
Quartus II三种方式实现D触发器及时序仿真

  • 1
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值