Vivado 常见Warning问题解决方法说明

19 篇文章 6 订阅

        此篇是我在学习中做的归纳与总结,其中如果存在版权或知识错误请直接联系我,欢迎留言。
PS:本着知识共享的原则,此篇博客可以随意转载,但请标明出处!

目录

1、[Synth 8-2611] redeclaration of ansi port XXX is not allowed

2、[Constraints 18-619] A clock with name 'InClk' already exists

3、 [Synth 8-2611] redeclaration of ansi port InClk is not allowed

4、 [Vivado 12-1017] Problems encountered:

5、 [Constraints 18-5210] No constraint will be written out.

6、[Common 17-1548] Command failed: can't read "output_ports": no such variable

7、[filemgmt 20-2001] Source scanning failed (terminated by user) while processing fileset "sources_1" due to unrecoverable syntax error or design hierarchy issues. Recovering last known analysis of the source files.

8、[Synth 8-1849] concatenation with unsized literal; will interpret as 32 bits

9、[Constraints 18-549] Could not create 'SLEW' constraint because cell 'OBUFDS_inst' is not directly connected to top level port. 'SLEW' is ignored by Vivado but preserved inside the database.


1、[Synth 8-2611] redeclaration of ansi port XXX is not allowed

        程序中重复声明输出端口信号

2、[Constraints 18-619] A clock with name 'InClk' already exists

描述:

        在约束文件XDC(SCOPED_TO_REF、SCOPED_TO_CELLS)中使用“create_clock -name”约束时,在打开综合设计或实现设计时,或者在综合或实现期间,可以观察到以下警告。

        [Constraints 18-619] A clock with name 'InClk' already exists overwriting the previous clock with the same name. ["E:/Vivado_Project/DispTimGen/DispTimGen.srcs/constrs_1/new/DispTimGenTiming.xdc":1]

解决方案:

        如果时钟约束已存在于 IP XDC 中,则无需在顶级 xdc 中写入约束。

 clk_wiz_0.xdc文件中如下已经约束InClk;

        当设计中有多个作用域单元/引用的实例时会显示此警告,因此多次读取相同的“create_clock -name”约束。

        如警告消息中所示,仅最后一个读取保留,所有其他读取都丢失。

        推荐的解决方案是从 create_clock 命令中删除 -name 选项。

        如果未使用 -name 选项,系统会根据网络名/位置创建唯一名称。

3、 [Synth 8-2611] redeclaration of ansi port InClk is not allowed

        输入端口不需要申明wire型

4、 [Vivado 12-1017] Problems encountered:

[Vivado 12-1017] Problems encountered: Failed to delete one or more files in run directory E:/Vivado_Project/DispTimGen/DispTimGen.runs/synth_1

描述:保存Project文件夹为可读模式

解决方案:设置保存Project文件权限,设置为读写模式

5、 [Constraints 18-5210] No constraint will be written out.

解决方案: Vivado 中的一个已知错误,将在 2020.1 中修复(可以忽略)

6、[Common 17-1548] Command failed: can't read "output_ports": no such variable

 描述:set_input_delay时,端口命名错误

解决方案: set_input_delay端口命名时,将< >去掉

7、[filemgmt 20-2001] Source scanning failed (terminated by user) while processing fileset "sources_1" due to unrecoverable syntax error or design hierarchy issues. Recovering last known analysis of the source files.

        重启软件可解决

8、[Synth 8-1849] concatenation with unsized literal; will interpret as 32 bits

        问题在了 {} 里面的 “0” 了,原来在拼接符中的0,如果不指定其位数,则软件会将其默认为32bit,如果这个0不在高位,那么在进行赋值的时候就会形成了将一个很多位的数据赋值给了2bit的BramRst。

9、[Constraints 18-549] Could not create 'SLEW' constraint because cell 'OBUFDS_inst' is not directly connected to top level port. 'SLEW' is ignored by Vivado but preserved inside the database.

         约束 [18-549] 无法创建“SLEW”约束,因为单元“OBUFDS_inst”未直接连接到顶级端口。 Vivado 会忽略“SLEW”,但会保留在数据库中。

        注意:INBUFDS与OBUFDS这类源语言是必须要有硬件连接的In或Out接口!

  • 7
    点赞
  • 71
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 8
    评论
vivado安装常见问题包括导入license无法正确显示和找不到板卡信息。对于导入license无法正确显示的问题,有两种解决方式。第一种是手动在用户目录下创建.Xilinx文件夹,并将root目录中的.Xilinx文件复制到此处。具体步骤是在命令行中执行以下命令:mkdir ~/.Xilinx、sudo su、cd /root/.Xilinx、cp ./ /home/xxx(你自己的用户名)/.Xilinx,然后重新启动vivado即可。第二种方式是在安装时将安装文件的权限修改为普通用户,或者在安装完成后将权限修改为普通用户。使用chmod 777 -R命令修改安装目录的权限,然后重新导入license即可。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *3* [ubuntu安装Vivado常见问题](https://blog.csdn.net/qq_36457355/article/details/117263794)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v92^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] - *2* [在安装和启动vivado 2017.1时可能出现的问题以及解决方法](https://blog.csdn.net/Hnutcracker/article/details/129376344)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v92^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 8
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

虚怀若水

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值