Quartus Prime19 lite 创建工程

本文档介绍了如何使用Quartus Prime 19.1 Lite版本创建一个新的FPGA工程。首先,确保已安装软件并准备好Cyclone V组件包。接着,通过启动New Project Wizard,依次设置工程目录、名称、项目类型、添加文件、选择芯片型号以及确认EDA工具设置。最后,检查摘要信息无误后,完成工程创建。
摘要由CSDN通过智能技术生成

1.准备


1.安装好Quartus Prime19 lite,不会安装可看教程Quartus Prime 19.1版本精简版下载安装教程
2.cyclonev-19.1.0.670组件包,访问组件包下载及安装

2.新建工程


    ①启动后会呈现 Quartus Prime Lite 19.1 的开始视窗
   该窗口分成几个部分,分别为: 工作区、设计项目层次显示区、信息提示窗口、任务区等。
    ②点选 New Project Wizard(新建工程精灵)在这里插入图片描述

弹出名为 Introduction(简介),点选 Next
在这里插入图片描述

    ③弹出窗口名为 Directory, Name, Top-level Entity(文件夹、名称、上层单体)
   选择工程存放路径为随意。填写didid(可随意但不要中文),点选 Next

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值