AHB-SRAMC-类

ahb_pkg

ahb_seq_item

uvm_sequence_item ahb_seq_item function new `uvm_object_utils_begin() `uvm_field_int() ..(haddr,hwdata,hrdata,hwrite,hsize,htrans) uvm_object_end()

ahb_base_seq

uvm_sequence ahb_base_seq function new task pre_body task post_body ahb_seq `uvm_object_utils() new(ahb_seq) task body ahb_seq_rw `uvm_object_utils() new(ahb_seq) task body

ahb_sequencer

uvm_sequencer ahb_sequencer `uvm_component_utils() function new

ahb_driver

uvm_driver ahb_driver virtual interface `uvm_component_utils() function new function build_phase function connect_phase task reset_phase task main_phase task do_driver

ahb_monitor

uvm_monitor ahb_monitor virtual interface uvm_analysis_port : analysis_port covergroup ahb_transfer_cg `uvm_component_utils() function new function build_phase function connect_phase get vif task main_phase task do_mon covergroupahb_transfer_cg TRNSA_ADDR TRANS_DIRECTION TRANS_DATA TRANS_SIZE TRNSA_ADDR_X_TRANS_DIRECTION(cross)

ahb_agent

uvm_agent ahb_agent uvm_analysis_port : analysis_port `uvm_object_utils_begin() `uvm_field_int() ..(is_active, m_cfg) uvm_object_end() function new function build_phase function connect_phase driver->sequencer()

ahb_agent_config

uvm_object ahb_agent_config rand uvm_active_passive_enum rand_bit coverage_enable rand_bit checks_enable `uvm_object_utils() function new

env_pkg

sramc_refm

uvm_component uvm_sramc_refm `uvm_component_utils() function new

sramc_scb

uvm_component uvm_sramc_scb uvm_analysis_imp analysis_imp `uvm_component_utils() function new function write task compare

sramc_env

uvm_env uvm_sramc_env `uvm_component_utils() function new function build_phase function connect_phase agent->scb() function end_of_elaboration_phase

sramc_env_config

uvm_object uvm_sramc_env_config `uvm_object_utils() function new

sramc_test_base

uvm_test uvm_sramc_test_base `uvm_component_utils() function new function build_phase function connect_phase function end_of_elaboration_phase task main_phase sramc_test `uvm_component_utils() function new function build_phase function connect_phase function end_of_elaboration_phase task main_phase
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值