Matlab通信仿真系列——载波幅度调制(PAM)仿真

微信公众号上线,搜索公众号小灰灰的FPGA,关注可获取相关源码,定期更新有关FPGA的项目以及开源项目源码,包括但不限于各类检测芯片驱动、低速接口驱动、高速接口驱动、数据信号处理、图像处理以及AXI总线等
在这里插入图片描述
本节目录

一、载波PAM信号的产生
二、载波PAM信号的解调
三、载波PAM信号的Matalb仿真
四、Matlab源码

本节内容
数字PAM又称为幅移键控ASK,在数字基带PAM中,信号波形即sm(t)=Am×g(t)
Am是第m个波形的幅度;g(t)是某一种脉冲,决定了传输信号的谱特性。
一、载波PAM信号的产生
载波PAM信号的产生,基带信号波形sm(t)与正弦载波cos2πfct相乘。
s(t)=Am×g(t)×cos2πfct
已调信号的频谱为:S(f)=(Am/2)×[G(f+fc)+G(f-fc)]
基带信号sm(t)的频谱被搬移到载波频率fc上,带通信号是一个双边带抑制载波DSBSC的AM信号。
二、载波PAM信号的解调
带通数字PAM信号的调制可以用相关或匹配滤波器来完成。
接收信号r(t)=Am×g(t)×cos2πfct+n(t)。
n(t)是带通噪声过程,n(t)=nc(t)×cos2πfct-ns(t)×sin2πfct
nc(t)——噪声的同相分量
ns(t)——噪声的正交分量
在这里插入图片描述

输出信号:
在这里插入图片描述

上式中n表示相关器输出的加性噪声分量,均值为0,方差为:
在这里插入图片描述

上式中,φ(f)是φ(t)的傅里叶变换;Sn(f)是加性噪声的功率谱密度。
载波调制PAM信号的最佳检测器的差错概率
在这里插入图片描述

上式中Es是符号的平均能量
三、载波PAM信号的Matalb仿真

matlab代码示例:
仿真4-PAM载波调制信号在awgn信道下的误码率和误比特率性能,
并与理论值比较,其中符号周期为1s,载波频率为10Hz,
每个符合周期内采样100个点。

在这里插入图片描述
在这里插入图片描述

四、Matlab源码

clear all;
clc;
%采样点数
numsamp=10;
%发送符合数
num_symbol=100000;
%符号后期
T=1;
%每个符号的采样点数
fs=100;
%采样时间间隔
ts=1/fs;
%时间矢量
t=0:ts:T-ts;
%载波频率
fc=10;
%载波信号
c=sqrt(2/T)*cos(2*pi*fc*t);
%信噪比
EsN0=0:15;
%信噪比转化为线性值
snrl=10.^(EsN0/10);
%4-PAM
M=4;
%Gray编码规则
graycode=[0 1 3 2];
%消息数据
msg=randi([0,3],1,num_symbol);
%Gray映射
msg1=graycode(msg+1);
%4-PAM调制
msgmod=pammod(msg1,M).';
%载波调制
tx=msgmod*c;
tx1=reshape(tx.',1,length(msgmod)*length(c));
%求每个符号的平均功率
spow=norm(tx1).^2/num_symbol;
for indx=1:length(EsN0)
    %根据符号功率求噪声功率
    sigma=sqrt(spow/(2*snrl(indx)));
    %加入高斯白噪声
    rx=tx1+sigma*randn(1,length(tx1));
    rx1=reshape(rx,length(c),length(msgmod));    
    %相关运算
    y=(c*rx1)/length(c);
    %PAM解调
    y1=pamdemod(y,M);
    %Gray逆映射
    decmsg=graycode(y1+1);
    %求误比特率和误符号率
    [err,ber(indx)]=biterr(msg,decmsg,log2(M));
    [err,ser(indx)]=symerr(msg,decmsg);
end

semilogy(EsN0,ber,'-ko',EsN0,ser,'-k*',EsN0,1.5*qfunc(sqrt(0.4*snrl)));
title('4-PAM载波信号在awgn信道下的性能');
xlabel('Es/N0');
ylabel('误比特率和误符号率');
legend('误比特率','误符号率','理论误符号率');
  • 15
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

小灰灰的FPGA

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值