FPGA逻辑题笔试(一)

微信公众号上线,搜索公众号小灰灰的FPGA,关注可获取相关源码,定期更新有关FPGA的项目以及开源项目源码,包括但不限于各类检测芯片驱动、低速接口驱动、高速接口驱动、数据信号处理、图像处理以及AXI总线等
1、十进制46.25对应的二进制表达式为 D
A 101110.11 B 101101.01 C 101110.1 D 101110.01
解析:整数部分除以2的余数逆向排序,小数部分乘以2结果取整数部分

2、在时序电路的状态转换表中,若状态数N=3,则状态变量数最少为 C
A 4 B 8 C 2 D 16
解析:独热码表示:3’b001,3’b010,3’b100;二进制码:2’b00 2’b01,2’b10;格雷码:2’b00,2’b01,2’b11
独热码:独热码的每个状态只有1bit是不同的,付出的代价就是状态变量的位宽需要的就比较多。
二进制编码:二进制编码的情况和独热码刚好相反,使用了少量的状态变量,使之在减少寄存器状态的同时无法进行比较器部分之间的优化,所以使用的寄存器资源较少,而使用的组合逻辑资源较多。独热码编码的状态机可以在高速系统上运行,因为是多比特的比较器每个比特到达比较器的时间可能会因为布局布线的走线长短而导致路径延时的不同,这样在高速系统下就会导致采集到不稳定的状态,导致比较后的结果产生一个时钟的毛刺,使输出不稳定,而单比特的比较器不用考虑这个问题。
格雷码:如果状态数非常多的话即使是FPGA也吃不消独热码对寄存器的消耗,所以当状态数特别多的时候可以使用格雷码对状态进行编码。格雷码虽然也是和二进制编码一样使用的寄存器资源少,组合逻辑资源多,但是其相邻状态转换时只有一个状态发生翻转,这样不仅能消除状态转换时由多条信号线的传输延迟所造成的毛刺,又可以降低功耗,所以要优于二进制编码的方式,相当于是独热码和二进制编码的折中。
3、只读存储器ROM中的内容,当电源断电后又接通,存储器中的内容
A 全部为0 B 不可预料 C 保持不变 D 全部改变
解析:
易失性存储器:RAM,包括DRAM(动态随机存储器)和SRAM(静态随机存储器)。
SRAM(静态随机存储器):保存数据通过晶体管进行锁存的,其工艺复杂,生产成本高,所以价格相对较贵,不易做大容量,但是速度更快,比如CPU中的Cache。
DRAM(动态随机存储器):保存数据靠电容充电来维持容量,生产成本较SRAM低,所以价格相对便宜,容量可以做到很大,速度虽然不如SRAM快但是随着工艺技术的提升,速度也很可观,所以较为常用,比如CPU中的内存条。
SDRAM(同步动态随机存储器):只在时钟的上升沿采样。
DDR SDRAM(Double Date Rate):双倍速率,在上升沿和下降沿采样。
非易失性存储器:ROM、Flash、光盘、软盘、硬盘。
ROM(Read Only Memory),只读存储器。只能读取它里面的数据无法向里面写数据。
EPROM(Easerable Programable ROM)这种存储器就可以多次擦除然后多次写入了,在特定环境紫外线下擦除。
EEPROM(Eelectrically Easerable Programable ROM)电可擦除ROM,现在使用的比较多因为只要有电就可擦除数据,就可以写入数据。
Flash是一种可以写入和读取的存储器,叫闪存,FLASH也叫FLASH ROM,有人把FLASH当做ROM。FLASH和EEPROM相比,FLASH的存储容量大。FLASH的速度比现在的机械硬盘速度快,现在的U盘和SSD固态硬盘都是Nandflash。FLASH又分为Norflash和Nandflash。
4、在Verilog语言中,a=4’b1011,那么&a为 C
A 4’b1111 B 1’b1 C 1’b0 D 4’b1011
解析:&——按位与
5、哪种不是组合逻辑电路功能描述方法 C
A 真值表 B 布尔方程 C 状态机 D 逻辑框图
解析:
真值表是使用于逻辑中的一类数学用表,用来计算逻辑表示式在每种论证(即每种逻辑变量取值的组合)上的值。
布尔方程(Boolean equation)是一类特殊方程,指布尔代数B上含有未知元的等式f(X)=g(X),其中f(X)与g(X)均为B上之布尔函数
逻辑框图由许多逻辑图形符号构成。它与真值表及布尔方程一样,是描述逻辑函数的一种方法。
状态机简写为FSM(Finite State Machine),也称为同步有限状态机,简称为状态机,之所以说是同步的,是因为状态机中所有的状态跳转都是在时钟的作用下进行的,所以必须用到时序逻辑。

  • 1
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

小灰灰的FPGA

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值