verilog 刷题进阶-牛客网-时序逻辑2

verilog 刷题进阶-牛客网-时序逻辑2
一、状态机-非重叠的序列检测

设计一个状态机,用来检测序列 10111,要求: 1、进行非重叠检测 即101110111 只会被检测通过一次
2、寄存器输出且同步输出结果 注意rst为低电平复位 信号示意图:

`timescale 1ns/1ns

module sequence_test1(
	input wire clk  ,
	input wire rst  ,
	input wire data ,
	output reg flag
);
//*************code***********//
    parameter S0=0, S1=1, S2=2, S3=3, S4=4, S5=5;
    reg [2:0] state, nstate;

    always@(posedge clk or posedge rst) begin
        if(~rst)
            state <= S0;
        else
            state <= nstate;
    end
    
    always@(*) begin
        if(~rst)
            nstate <= S0;
        else
            case(state)
                S0     : nstate <= data? S1: S0;
                S1     : nstate <= data? S0: S2;
                S2     : nstate <= data? S3: S0;
                S3     : nstate <= data? S4: S0;
                S4     : nstate <= data? S5: S0;
                S5     : nstate <= data? S1: S0;
                default: nstate <= S0;
            endcase
    end
    
    always@(*) begin
        if(~rst)
            flag <= 0;
        else
            flag <= state==S5;
    end
//*************code***********//
endmodule

二、状态机-重叠序列检测

设计一个状态机,用来检测序列 1011,要求: 1、进行重叠检测 即10110111 会被检测通过2次
2、寄存器输出,在序列检测完成下一拍输出检测有效 注意rst为低电平复位 信号示意图:

和上一题很相似,先将所有状态都写出来
然后状态机第一步
第一个进程是同步时序,描述次态到现态的转移;
第二个进程是组合逻辑(always),描述次态转移条件的判断
第三个进程是同步时序,描述状态寄存器的输出

`timescale 1ns/1ns

module sequence_test2(input wire clk,
                      input wire rst,
                      input wire data,
                      output reg flag);
    //*************code***********//
    parameter S0 = 3'b000;
    parameter S1 = 3'b001;
    parameter S2 = 3'b010;
    parameter S3 = 3'b011;
    parameter S4 = 3'b100;
    
    reg [2:0] state, nstate;
    always@(posedge clk or posedge rst) begin
        if (~rst)
            state <= S0;
        else
            state <= nstate;
    end
    
    always@(*) begin
        if (~rst)
            nstate <= S0;
        else
            case(state)
                S0     : nstate <= data? S1: S0;
                S1     : nstate <= data? S1: S2;
                S2     : nstate <= data? S3: S0;
                S3     : nstate <= data? S4: S2;
                S4     : nstate <= data? S1: S2;

                default: nstate <= S0;
            endcase
    end
    
    always@(posedge clk or negedge rst) begin
        if (~rst)
            flag <= 0;
        else
            flag <= state == S4;
    end
    
    
    //*************code***********//
endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

约翰克里斯

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值