牛客网刷题VL11 状态机-非重叠的序列检测

描述

设计一个状态机,用来检测序列 10111,要求:

1、进行非重叠检测 即101110111 只会被检测通过一次

2、寄存器输出且同步输出结果

注意rst为低电平复位

信号示意图:
在这里插入图片描述
波形示意图:

在这里插入图片描述

输入描述:
输入信号 clk rst data
类型 wire
输出描述:
输出信号 flag
类型 reg

`timescale 1ns/1ns

module sequence_test1(
	input wire clk  ,
	input wire rst  ,
	input wire data ,
	output reg flag
);

parameter IDLE = 0;
parameter  S0 =  1;
parameter  S1 =  2;
parameter  S2 =  3;
parameter  S3 =  4;
parameter  S4 =  5;

//*************code***********//
reg [3:0] state,next_state;

always@(posedge clk or negedge rst)begin
    if(!rst)
        state <= IDLE;
    else 
        state <= next_state;
end

always@(*)begin
    if(flag) //注意这里
        next_state = IDLE;
    else
    case(state)
      IDLE:
        if(data)
            next_state = S0;
        else
            next_state = IDLE;
      S0://1
          if(!data)
            next_state = S1;
          else
            next_state = S0;
      S1://10
          if(data)
            next_state = S2;
          else
            next_state = IDLE;
      S2://101
          if(data)
            next_state = S3;
          else
            next_state = S1;
      S3://1011
          if(data)
            next_state = S4;
          else
            next_state = S1;
      S4://10111
            if(data)
                next_state = S0;
            else
                next_state = S1;
    default:state = IDLE;
    endcase
end

//assign flag  = (state == S4)?1'b1:1'b0;

always@(*)begin
    if(!rst)
        flag = 0;
    else begin
        if(state == S4)
            flag = 1;
        else 
            flag = 0;
    end
end

//*************code***********//
endmodule


别的都跟重叠序列检测一样,但是拉高flag后要把next_state清零

`timescale 1ns/1ns

module sequence_test1(
	input wire clk  ,
	input wire rst  ,
	input wire data ,
	output reg flag
);

reg [4:0] temp;

always@(posedge clk or negedge rst)begin
    if(!rst)
        temp <= 'd0;
    else begin
        if(flag)
            temp <= {4'b0,data};
        else
            temp <= {temp[3:0],data};
    end
end

always@(posedge clk or negedge rst)begin
    if(!rst)
        flag <= 'd0;
    else begin
        if({temp[3:0],data} == 5'b10111)
            flag <= 'd1;
        else
            flag <= 'd0;
    end
end
//*************code***********//
endmodule



  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值