Verilog语法学习(一)

模块内容:I/O说明,内部信号声明,功能定义

I/O说明的格式

输入口:input [信号位宽-1:0]端口名1;
input[信号位宽-1:0]端口名I; // 共有i个输入口
输出口:对应output
output[信号位宽-1:0]端口名j

输入/输出口:inout[信号位宽-1:0]端口名k

  • 注:I/O说明也可以写在端口说明里
    module module_name(input port1,input port2,……output port1,output port2……)

内部信号说明

用到wire,reg类型变量
reg[width-1:0] R变量1,R变量2
wire[width-1:0]W变量1,W变量2

功能定义

3种方法在模块中产生逻辑
(1):assign语句,只需assign后跟上方程式
e.g: assign a = b&c //两输入的与门
(2)用实例元件
e.g: and #2 u1(q,a,b)//和与门一样的名为u1的输出端为q输入端为a,b;输出延迟为2个单位时间
采用实例元件如同在电路图中调用库元件,键入元件名字和相连的引脚即可。
(3):always块
e.g:
always@(posedge clk or posedge clr);
begin
if(clr)q <=0;
else if(en)q <=d;
end

always既可以描述组合逻辑也可以描述时序逻辑,assign语句常用来描述组合逻辑。

我发现在这上面写太丑了而且浪费时间,所以我准备直接放onenote上面的图片,受不了这个。。。
在这里插入图片描述

好了,反正是一个备份的作用。。。

  • 2
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值