小梅哥Xilinx FPGA学习笔记3——时序逻辑电路设计之计数器(LED闪烁)

目录

一、功能介绍

二、代码编写

1.设计文件

2.激励文件

3.仿真图

三、总结


一、功能介绍

        时序逻辑电路是指电路任何时刻的稳态输出不仅取决于当前的输入,还与前一时刻输入形成的状态有关。这跟组合逻辑电路相反,组合逻辑的输出只会跟目前的输入成一种函数关系。换句话说,时序逻辑拥有储存元件来存储信息,而组合逻辑则没有。

此处设计一个计数器,使开发板上的 LED 状态每 500ms 翻转一次。

二、代码编写

1.设计文件

module LED_Flicker(
    input Clk,
    input Reset_n,
    output reg Led
    );
    
    reg [24:0]counter;//定义计数器位数,板上时钟资源是20ns为一个周期,所以要用计时数除以20ns来计算计时次数。最后将次数转化成二进制位,有几位就定义几位计时器
    //计数器计数
    always@(posedge Clk or negedge Reset_n)
    if(!Reset_n)//可见Reset_n复位是低电平有效
        counter <= 0;
    else if(counter == 25_000_000-1)
        counter <= 0;
    else
        counter <= counter + 1'd1;
    always@(posedge Clk or negedge Reset_n)//与上述的always语句块是并列
  • 4
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值