小梅哥Xilinx FPGA学习笔记3——时序逻辑电路设计之计数器

〇、功能介绍

1.功能描述

时序逻辑电路是指电路任何时刻的稳态输出不仅取决于当前的输入,还与前一时刻输入形成的状态有关。这跟组合逻辑电路相反,组合逻辑的输出只会跟目前的输入成一种函数关系。换句话说,时序逻辑拥有储存元件来存储信息,而组合逻辑则没有。

此处设计一个计数器,使开发板上的 LED 状态每 500ms 翻转一次。

一、代码编写

1.设计文件

module led_flash(clk,reset_n,led);
    input clk;
    input reset_n;//_n代表低电平有效
    output reg led;
//  assign reset=~reset_n;
    reg [24:0] counter;//定义计数器寄存器
    
//计数器计数进程    
always@(posedge clk or negedge reset_n)//posedge上升沿,即以时钟的上升沿为敏感信号,或者复位信号的下降沿
    if(!reset_n)
        counter <= 25'b0;//非阻塞赋值
    else if(counter==25000000-1)//让counter复位置0也需要耗费一个周期,所以需要延时多久翻转,则需要将延时时间除以周期时长再减去1,得到此处的counter数
        counter <= 25'b0;
    else
        counter <= counter+1'b1;
//led输出控制进程        
always@(posedge clk or negedge reset_n)
    if(!reset_n)
        led <= 0;
    else if(counter==25000000-1)
        led <= !led;//在always里赋值,则必须为reg型

/*不分进程写
always@(posedge clk or negedge reset_n) //posedge上升沿,即以时钟的上升沿为敏感信号,或者复位信号的下降沿
//always@(posedge clk or posedge reset);if(reset);
    if(!reset_n)
        begin
        counter <= 0;
        led <= 0 ;
        end
    else if(counter == 25000000)
        begin
        led <= !led;  //在always里赋值,则必须为reg型
        counter <= 0;
        end
    else
        begin
        counter <= counter+1'd1;
        end*/           
endmodule

2.激励文件

`timescale 1ns / 1ns //时间刻度 时间的单位/ 1ns精度
module led_flash_tb();
    reg clk;
    reg reset_n;
    wire led;
    led_flash led_flash(
        .clk(clk),
        .reset_n(reset_n),
        .led(led)
        );
    initial clk = 1'b1;
    always #10 clk=~clk; //延时半个周期10ns,翻转clk值,模拟实现时钟信号
    initial begin
        reset_n = 1'b0;
        #201;//延迟时间不应为周期的整数倍
        reset_n = 1'b1;
        #2000000000;//等待两秒,看实际状态
        $stop;
    end
endmodule

3.仿真图

1.仿真图是以(counter==25’d24999时,counter <=0;时间少了三个三位)为基准的仿真,节约仿真的时间,横坐标一个刻度2ms

//计数器计数进程    
always@(posedge clk or negedge reset_n)//posedge上升沿,即以时钟的上升沿为敏感信号,或者复位信号的下降沿
    if(!reset_n)
        counter <= 25'b0;//非阻塞赋值
//    else if(counter==25000000-1)//让counter复位置0也需要耗费一个周期,所以需要延时多久翻转,则需要将延时时间除以周期时长再减去1,得到此处的counter数
    else if(counter==25'd24999)
        counter <= 25'b0;
    else
        counter <= counter+1'b1;
//led输出控制进程        
always@(posedge clk or negedge reset_n)
    if(!reset_n)
        led <= 0;
//    else if(counter==25000000-1)
    else if(counter==25'd24999)
        led <= !led;//在always里赋值,则必须为reg型

在这里插入图片描述
2.真实的仿真图,横坐标一个刻度代表100ms
在这里插入图片描述

二、总结

1.学习板上晶振为 50MHz,也就是说时钟周期为 20ns,这样可以计算得出 500ms =
500_000_000ns/20ns = 25_000_000,即需要计数器计数 25_000_000 次,也就是需要一个至少25 位的计数器(225>25_000_000>224)。且每当计数次数达到需要清零并重新计数。

8'd25_000_000=25'b1_0111_1101_0111_1000_0100_0000

2.posedge代表上升沿,即以时钟或其他的上升沿为敏感信号;negedge代表下降沿,即以时钟或其他的下降沿为敏感信号;

assign reset=~reset_n;
always@(posedge clk or negedge reset_n)if(!reset_n)
//等价于always@(posedge clk or posedge reset);if(reset)

3.三种形式的判断语句使用:if、else if、else;执行语句不止一个语句时,需要用begin、end语句组成一个块

if(表达式)
    执行语句
else if(表达式)
    执行语句
else 
    执行语句
//执行语句为一条语句
if(!reset_n)
    counter <= 25'b0;//非阻塞赋值
else if(counter==25000000-1)//让counter复位置0也需要耗费一个周期,所以需要延时多久翻转,则需要将延时时间除以周期时长再减去1,得到此处的counter数
    counter <= 25'b0;
else
    counter <= counter+1'b1;

//执行语句为多条语句,要用begin和end
if(!reset_n)
    begin
        counter <= 0;
        led <= 0 ;
    end
else if(counter == 25000000)
    begin
        led <= !led;  //在always里赋值,则必须为reg型
        counter <= 0;
    end
else
    begin
        counter <= counter+1'd1;
    end

4.计数器与led灯翻转最好能通过两个always模块进行编写,以利于硬件电路的生成;
后记:惊风飘白日,光景西驰流。

  • 0
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
小梅哥学习Xilinx FPGA期间进行了积极的探索,特别是对Cyclone V SOC的开发流程进行了学习。从裸机到基于Linux嵌入式系统,小梅哥实现了FPGA和ARM Cortex-A9 CPU之间的双向控制和数据传输。 在代码编写方面,小梅哥设计了一个名为mux2的模块,用于实现二选一多路器。模块包含了输入和输出端口,并通过assign语句实现了信号的赋值。 在激励文件中,小梅哥使用reg和wire定义了输入和输出信号,并通过mux2模块进行了实例化。然后,通过initial块生成了一系列的激励信号,对模块进行仿真。 总结来说,小梅哥Xilinx FPGA学习笔记中记录了自己对Cyclone V SOC的学习、代码编写和激励文件的使用。这些学习内容对于理解FPGA开发流程以及实现特定功能非常有帮助。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [FPGA自学笔记——设计与验证VIP版.pdf](https://download.csdn.net/download/qq_30307853/11656682)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* *3* [小梅哥Xilinx FPGA学习笔记1——二选一多路器](https://blog.csdn.net/weixin_42454243/article/details/122026484)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值