Verilog中function和task之间的区别

@Verilog中function和task之间有什么区别?

function:
执行后立即返回,无法内置阻塞、等待语句,不消耗时间。
在声明时需要指定返回值(包括void)。

task:
执行可以不立即返回,可与内置阻塞、等待语句,消耗时间。
返回值只能依靠参数列表中的参数。

调用方法
function只能调用function。
task可以调用function和task。

使用方法
规范编写的function是可以综合的。
task一般用在仿真模型中,不用于可综合的电路设计。

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值