ISE IOBUF原语使用

IOBUF

Xilinx原语之一,作为三态端口使用,用来连接FPGA双向inout接口

三态结构

代码例化

网上查找教程有如何添加原语例化模块

使用说明

T=0(低电平)--->IOBUF作为输出使用--->I接口信号输出给I/O接口

T=1(高电平)--->IOBUF作为输入使用--->I/O接口信号输入给O接口

另一种三态端口使用方法

使用三态端口,除了例化IOBUF原语,还可以直接写assign语句,如下

assign O = IO ;

assign IO = ~ T ? I : 1'bz ;

assign O = T ? IO : I ;

assign IO = ~ T ? I : 1'bz ;

补充

问题:如果两个FPGA的INOUT接口连接传输数据时,抓数发现信号不一致,接收的一方出现小脉冲

原因:两个板子没有共地

  • 1
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值