【FPGA & Verilog】⼋选⼀数据选择器(原理图输⼊设计)

⼀、实验⽬的

1. ⼋选⼀数据选择器的电路原理,掌握组合逻辑电路的设计⽅法

2. 掌握Quartus II软件原理图输⼊设计的流程

⼆、实验内容

2.1 1 设计输⼊

1. 将⼋选⼀数据选择器A、B、C端,Q[7:0]端作为输⼊,Y作为输出。

2. 其余引脚按照⼋选⼀数据选择器功能要求连接。

2.2 2 电路仿真

1. 激励⽂件的输⼊包含A、B、C的8种状态

2. 激励⽂件的输⼊Q为8种不同频率的时钟输⼊

3. 功能仿真

三、实验报告

1. 实验步骤、实验内容截图(从创建⼯程开始到仿真结束)

1.路径设置

2.器件选择

3.汇总

4.创建BDF文件

5.原理图设计

6.编译

7.创建VWF文件进行功能仿真

选择端CBA依次从000递增到111,从Q【0】~Q[7】中选择一个数据作为输出Y的值,ENn为使能端

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值