17、vivado打开保存的仿真文件

1、保存

仿真完成后直接点击保存,输入wcfg文件名称即可。

2、打开

打开原来保存的波形文件,需分两步走。

首先打开wdb文件,Flow,Open Static simulation;

之后再打开原来保存的wcfg文件, File -> Simulation WaveformOpen -> Open Configuration。

  • 4
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
要在Vivado中与ModelSim联合进行仿真,可以按照以下步骤操作: 1. 配置仿真选项:在Vivado打开项目,并转到"Flow Navigator"面板。选择"Simulation",然后选择"Run Simulation"。在弹出的对话框中,选择"Behavioral Simulation",然后点击"Next"。 2. 选择仿真工具:在仿真选项对话框中,选择"ModelSim"作为仿真工具,并点击"Next"。 3. 配置仿真文件:在仿真选项对话框的下一步,你可以选择要包含在仿真中的设计文件。确保你选择了需要进行联合仿真的设计文件,并点击"Next"。 4. 配置仿真运行:在仿真选项对话框的下一步,你可以配置仿真运行的一些参数,例如仿真时间、时钟周期等。根据你的需求进行相应配置,并点击"Next"。 5. 生成仿真脚本:在仿真选项对话框的下一步,Vivado将生成一个用于联合仿真的脚本文件。你可以选择将脚本保存工程目录中,或者直接在ModelSim中运行。 6. 运行联合仿真:完成上述步骤后,点击"Finish"开始运行联合仿真Vivado将自动启动ModelSim,并加载设计文件进行仿真。 在ModelSim中进行仿真时,你可以使用ModelSim提供的波形窗口、命令行工具等来查看仿真结果,并进行调试和验证。 请注意,要成功进行联合仿真,你需要确保Vivado和ModelSim都已正确安装并配置好。此外,还需要根据具体的设计和仿真需求进行相应的设置和操作。 希望这些步骤对你有帮助!如有任何进一步的问题,请随时提问。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值