「Verilog学习笔记」占空比50%的奇数分频

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

根据题意7分频,实际上是第一次电平变化经历了4个上升沿+3个下降沿,第二次电平变化是4个下降沿+3个上升沿,所以用两个计数器就行了。分别对上升沿和下降沿进行计数,计数总共到7就可以让输出信号取反。

`timescale 1ns/1ns

module odo_div_or
   (
    input    wire  rst ,
    input    wire  clk_in,
    output   wire  clk_out7
    );

//*************code***********//
    reg [3:0] cnt1, cnt2 ; 
    reg [1:0] data ; 

    always @ (posedge clk_in or negedge rst) begin 
        if (~rst) cnt1 <= 'd0 ; 
        else cnt1 <= cnt1 + 1'd1 ; 
    end

    always @ (negedge clk_in or negedge rst) begin 
        if (~rst) cnt2 <= 'd0 ; 
        else cnt2 <= cnt2 + 1'd1 ; 
    end

    always @ (*) begin 
        if (~rst) data <= 'd0 ;
        else if (cnt1 + cnt2 == 3'd7) begin 
            cnt1 <= 'd0 ;
            cnt2 <= 'd0 ; 
            data <= ~data ; 
        end
        else data <= data ; 
    end

    assign clk_out7 = data ; 

//*************code***********//
endmodule
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

UCSD.KS

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值