IC笔试牛客网verilog刷题总结二

21.根据状态转移表实现时序电路

  • 状态机三段式:
  • 第一段:时序逻辑,次态赋给现态
  • 第二段:组合逻辑,现态和输入决定输出
  • 第三段:时序逻辑,输出判断

三段式寄存器输出,不产生毛刺(两段式容易出现竞争冒险,产生毛刺),有利于时序约束。

状态编码:二进制(适用于CPLD)和独热码(适用于FPGA)

独热码:S0=3'b001,S1=3'b010,S2=3'b100

二进制编码、格雷码编码使用最少的触发器,消耗较多的组合逻辑,而独热码编码反之。

default 防止锁存器的产生

  reg [1:0] curr_state;
    reg [1:0] next_state;
    always @(posedge clk or negedge rst_n)
        begin
            if(~rst_n)begin
                curr_state<=2'b00;
                next_state<=2'b00;
            end
            else begin
                curr_state <= next_state;
            end
        end
    always@(*)
        begin
            case(curr_state)
                2'b00:next_state=(A == 1'b1) ? 2'b11:2&
  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值