SpinalHDL之时序逻辑(Sequential logic)(一)

本文作为SpinalHDL学习笔记第七十二篇,介绍SpinalHDL的寄存器(Registers)

目录:

1.简介(Introduction)

2.例化(Instantiation)

3.复位值(Reset value)

4.仿真下的例化(Initialization value for simulation)

5.寄存器向量(Register vectors)

6.把线类型转化为寄存器(Transforming a wire into a register)

⼀、简介(Introduction)

在SpinalHDL中创建寄存器与VHDL和Verilog中区别很⼤。
在SpinalHDL中, 没有process/always块, 寄存器在声明的时候就清晰地定义出来。这种和传统事件驱动HDL的不同带来了巨⼤的影响:

◆你可以在同

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

千穹凌帝

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值