SpinalHDL之时序逻辑(Sequential logic)(二)

本文作为SpinalHDL学习笔记第七十三篇,介绍SpinalHDL的RAM/ROM

目录:

1.语义(Syntax)

2.同步使能quirk(Synchronous enable quirk)

3.读下写原则(Read-under-write policy)

4.混合宽度ram(Mixed-width ram)

5.⾃动化mem⿊盒(Automatic blackboxing)

⼀、语义(Syntax)

Mem类在SpinalHDL中创建memory, 它允许你定义memory并增加读写端⼝。

以下表格展⽰了如何例化memory:

备注:如果你想定义ROM, initialContent阵列的元素应该是纯粹的值(没有操作符, 没有改变尺⼨函数), 在例⼦⾥有Sinus rom的例⼦。

备注给RAM初始化时, 也可以⽤init函数。

备注:写mask宽度是灵活的, 可以把mem字拆分成和mask宽度⼀样的片段。例如如果你有32 bits的mem字并提供了4bits的mask, 那么这就是字节(byte)mask。如果你提供32 bits的mask, 那这就是bit mask。

以下表格展⽰了如何给memory增加端⼝:

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

千穹凌帝

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值