【verilog教程】第7篇:时序逻辑和组合逻辑

43 篇文章 80 订阅 ¥99.90 ¥299.90
本文介绍了Verilog中时序逻辑和组合逻辑的使用规则,包括always块的应用、阻塞与非阻塞赋值的区别,以及如何选择使用时序逻辑或组合逻辑。组合逻辑通常用阻塞赋值,时序逻辑常用非阻塞赋值。在实际设计中,应根据需求灵活选择。同时,文章强调了assign和always@(*)在描述组合逻辑时的差异,assign用于wire型信号,always@(*)用于reg型信号,但在仿真时,always@(*)若无信号变化,其输出可能为不定态。
摘要由CSDN通过智能技术生成

本文依据网络资料及工作经验整理而成,如有错误请留言。
文章为个人辛苦整理,付费内容,禁止私自转载。
文章专栏:《黑猫的FPGA知识合集》

本文主要参考链接:
https://zhuanlan.zhihu.com/p/110543798

1 概述

“always”块既可用于描述组合逻辑也可描述时序逻辑。

1、always内不可以多层嵌套always!

2、
在同一个always块中不要既用阻塞赋值,又用非阻塞赋值。
不允许在多个always块中对同一个变量进行赋值。
always里面可以用阻塞赋值,没有规定只能用非阻塞赋值。

3、
组合逻辑通常用阻塞赋值,
时序逻辑通常用非阻塞赋值。

2 时序逻辑

参考链接(详细,简洁明了):https://zhuanlan.zhihu.com/p/110543798

(1)代码层面:时序逻辑即敏感列表里面带有时钟上升沿,如果是没有上升沿或者是带有“*”号的代码,为组合逻辑。

(2)电路层面:
在这里插入图片描述
(3)波形层面

  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

黑猫学长呀

有帮助到你就来打个赏呗

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值